Präzisions-Leiterplattenherstellung, Hochfrequenz-Leiterplatten, mehrschichtige Leiterplatten und Leiterplattenbestückung.
IC-Substrat

IC-Substrat - Wo geht Open Source EDA hin?

IC-Substrat

IC-Substrat - Wo geht Open Source EDA hin?

Wo geht Open Source EDA hin?

2021-09-16
View:833
Author:frank

Mit der Entwicklung dedizierter Computing-Bereiche wie KI, 5G-Kommunikation und Cloud Computing ist auch die Computerarchitektur für den dedizierten Computing-Bereich in ein neues goldenes Zeitalter eingetreten. Zwei wichtige Pinsel, die dieses goldene Zeitalter darstellen, sind Open Source Hardware (Chips) und agile Entwicklung: Open Source kann die Schwelle des Chipdesigns senken und agiles Design kann den Entwicklungszyklus verkürzen.


Apropos Open Source Chips kann RISC-V als Vertreter von Open Source bezeichnet werden. Im vergangenen Jahr hat die RISC-V International Foundation ihren Sitz in die Schweiz verlegt. Es hat derzeit mehr als 1.000 Mitglieder und ungefähr 200 Unternehmensorganisationen. China nimmt dabei eine sehr wichtige Rolle ein, chinesische Unternehmen machen mehr als 20%, und 9 der 19-Vorstandsmitglieder kommen aus China.


Da der offene Instruktionssatz RISC-V von der Industrie nach und nach nachgefragt wird, ist das Konzept der Open-Source-Chips allmählich in das Sichtfeld der Menschen eingetreten und hat weltweite Aufmerksamkeit und Investitionen erregt. International, bereits auf der 2019 International Computer Architecture Flagship Conference ISCA Vision Symposium, viele American Academy of Engineering Akademiker, darunter Turing Award Gewinner Professor David Patterson, sowie vom MIT, UC Berkeley, UCSD, Stanford, Google, Experten von Top-Universitäten, Unternehmen und Regierungsbehörden wie Nvidia und DARPA konzentrierten sich auf die Frontier-Hotspots der "agilen offenen Hardware für Computing der nächsten Generation", einschließlich Befehlssatz, EDA-Werkzeugkette Open Source, Designprozess, High-Level-Synthese und formale Verifizierung.


Was sind also die Innovationsmöglichkeiten für Open Source Chips? Bao Yungang, stellvertretender Direktor des Instituts für Computertechnologie der Chinesischen Akademie der Wissenschaften, sagte auf dem technischen Forum: RISC-V gehört zum offenen Lehrangebot. In der Tat handelt es sich um die offene Bedienungsanleitung. Zum Beispiel hat die Bedienungsanleitung des Befehlssatzes von Intel CPU mehr als 5000-Seiten und das Handbuch der ARM CPU Die Bedienungsanleitung des Befehlssatzes hat mehr als 2.000-Seiten, während die Anleitung des RISC-V-Befehlssatzes nur mehr als 200-Seiten hat. Wenn der Befehlssatz in eine echte Architektur umgewandelt wird, werden zu diesem Zeitpunkt viele Designdokumente generiert, dann können die Dokumente veröffentlicht werden; Gemäß den Entwurfsdokumenten und dann in Quellcode umgewandelt, kann der Quellcode auch öffentlich gemacht werden; Die EDA Werkzeugkette kann den Open Source Code in ein Chiplayout verwandeln, dann können EDA Design Tools auch Open Source sein.

PCB

Wie wir alle wissen, wird der globale EDA-Markt von den drei großen Herstellern Cadence, Synopsys und Mentor monopolisiert (umbenannt in Siemens EDA im Januar 2021). Ihre große und komplette Produktlinie kann komplette Lösungen für den gesamten Prozess bieten. Im Gegenteil, inländische EDA-Instrumente haben in China einen Marktanteil von weniger als 5% und auf dem Weltmarkt nur 0,2% . Darüber hinaus sind inländische EDA-Unternehmen klein, einzeln in Produkten, meist "Point"-Tools, und können nur Teillösungen anbieten. Es ist schwierig für ein einziges EDA-Tool, die Anforderungen des Designers für den gesamten Prozess des IC-Designs zu erfüllen, und der Wechsel zwischen verschiedenen Tools erhöht Risiken für die Datenintegrität. Infolgedessen fordert der Markt zunehmend Open Source Plattformen.


Jie Biwei vom Pengcheng Labor und dem Institut für Computing Technology der Chinesischen Akademie der Wissenschaften glaubt, dass Open Source EDA eine wichtige Garantie für die Unterstützung der Open-Chip-Ökologie ist. Viele erfolgreiche Erfahrungen zeigen, dass Open Source und Offenheit die notwendige Grundlage für den Aufbau einer prosperierenden Technologie- und Industrieökologie sind. Zum Beispiel unterstützt das Linux-Betriebssystem das gesamte Open-Source-Ökosystem; Ein weiteres Beispiel ist das mobile Betriebssystem Android. Obwohl es jetzt Closed Source ist, verwendete es Open Source als Ausgangspunkt, um das gesamte mobile Internet-Ökosystem außer iOS zu vereinheitlichen; Im Bereich künstlicher Intelligenz sind Caffe, TensorFlow, Pytorch und PaddlePaddle die Eckpfeiler des gesamten KI-Technologie-Ökosystems.


Open-Source-EDA-Tools können die Schwelle des Chipdesigns senken, z. B. die Kosten für Arbeitskräfte, IP und EDA für Chipdesign senken. Es kann auch gute Ideen für die Entwicklung wissenschaftlicher Grundlagenforschung und Talentausbildung im Bereich EDA liefern, sei es für Unternehmen, Universitäten, wissenschaftliche Forschungseinrichtungen oder einzelne Enthusiasten im Bereich Chips und EDA. Wenn die Open Source EDA mehrere Kräfte sammeln kann, wird es unserem Land helfen, schneller auf dem internationalen fortgeschrittenen Niveau aufzuholen.


Die Grundlage der EDA-Software meines Landes ist jedoch noch relativ schwach und im Open-Source-Bereich fast leer. Open Source EDA steht immer noch vor vielen Herausforderungen: wenige Benutzer, wenige Mitwirkende und unklare Rahmenstruktur; Einige Lösungen werden auch im Forum diskutiert. Einzelheiten wie folgt:


(1) Wenige Benutzer: Die Qualität der Open Source EDA-Tools ist weit entfernt von kommerziellen Tools, und die Anzahl der Benutzer ist sehr begrenzt. Die Lösung besteht darin, die Qualität der Werkzeuge zu verbessern und die Projektprüfung in der Produktionsumgebung zu betonen. Das heißt, Open-Source-EDA-Tools sollten nicht nur in akademischen Arbeiten und Software-Codes bleiben, sondern mit anderen Point-Tools kombiniert werden, um eine Werkzeugkette zu bilden, um die vollständige Chip-Tape-Out-Verifizierung zu unterstützen und effektives Benutzerfeedback zu erzeugen. Zum Beispiel hat Magic in den Vereinigten Staaten mehrere Tape-Out-Überprüfungen durchlaufen, und das Papier enthält tatsächliche Chip-Testdaten.


(2) Wenige Mitwirkende: Der EDA-Bereich ist relativ Nische, und seine Open-Source-Community ist noch dünner. Die Hauptbeiträger von Open Source Tools wie Yosys, abc, magic und OpenROAD sind meistens zehn Personen. Aufgrund der Eigenschaften der EDA-Disziplin müssen Open Source EDA-Beitragende gleichzeitig Kenntnisse in CS, Math, EE und MicroE haben, und die technische Schwelle ist relativ hoch. Die Entwicklung jedes Open Source EDA Tools ist nicht offen. Es ist im Grunde ein internes Team einer bestimmten Schule, Forschungseinrichtung und Firma. Es gibt nur wenige externe Teilnehmer und es ist schwierig, daran teilzunehmen. Die Lösung besteht darin, EDA-technische Probleme vollständig zu zerlegen, zu abstrahieren und zu beschreiben und sie in sprachliche Ausdrücke einzuordnen, die in professionellen Bereichen wie Mathematik, Algorithmen und High Performance verstanden werden können, und Community-Kräfte in mehreren Disziplinen zu mobilisieren.


(3) Unklare Rahmenstruktur: Inkonsistenter Code und geringe Wiederverwendungsrate sind ein häufiges Problem von Open Source Software. Die starke Bindung von Open Source EDA-Tools und Algorithmen macht das Problem jedoch prominenter. Das Entwerfen neuer Algorithmen erfordert in der Regel viel Code Rewriting, was die Bedeutung von Open Source verliert. Es gibt zwei Lösungen. Zuerst modulares Design, beginnend mit dem Design des Basisframeworks und dann über die Algorithmen-Ebene, abstrahieren gängige Operationen und Datenformate, erforschen iterative Rekonstruktionsregeln aus dem Code und bilden ein optimiertes, effizientes und modulares Basisframework. Dieser modulare Aufbau erleichtert auch die Beschleunigung des EDA-Designprozesses mit einer verteilten parallelen Rechenarchitektur. Zweitens: Standardisierung der Abstraktion. Nutzen Sie exzellente Compiler-Design-Konzepte wie MulTI-level Intermediate RepresentaTIon (MLIR), um den gesamten Designprozess (insbesondere Front-End-Design) in mehrere Abstract-Ebenen zu unterteilen, so dass Experten aus verschiedenen Bereichen sich auf ihre jeweiligen Abstract-Ebenen konzentrieren können. Gleichzeitig erfolgt durch die Zusammenstellung mehrerer Ebenen zur Öffnung der EDA-Werkzeugkette eine agile und komfortable Vollprozessverifizierung. So kann auch ein Point Tool über ein standardisiertes Konvertierungsformat flexibel an die Open Source EDA Werkzeugkette angebunden werden, um die Leistung und Qualität der selbst entwickelten Werkzeuge vollständig zu überprüfen. Gegenwärtig prägen die nordamerikanische Industrie und Wissenschaft diesen Trend.


Was das Open Source EDA Projekt betrifft, egal ob niedriger Prozess (110nm) bis hoher Prozess (55nm, 40nm oder sogar 28nm). Von Anwendungen auf universitärer Lehrebene bis zu Anwendungen auf Unternehmensproduktebene, von kleinen ASIC-Chips bis hin zu größeren SoC-Projekten sollte die Strategie der Kombination von Forschung und Anwendung eingehalten werden, wobei Praktikabilität als treibende Kraft und Anwendung von Forschung und Anwendung von Forschung auf Anwendung ist. Teilen Sie einen nach dem anderen von fünf Aspekten auf: Werkzeugkette, Punktwerkzeug, Benchmark-Testsatz, Demonstrationsanwendung und industrielle Open-Source-Prozessbibliothek.


Forschungsfortschritt in der Industrie


Es ist erwähnenswert, dass Dr. Chris Lattner (einer der Hauptinitiatoren und Autoren des LLVM-Projekts und Autor des Clang-Compilers), der gerade von Google Brain zum Star RISC-V-Unternehmen SiFive gewechselt ist, die Rede von The Golden Age of Compiler Design in an Era of HW/SW Co-design gehalten hat, Wir schlugen ein neues einheitliches EDA (Electronic Design AutomaTIon) Framework vor, das auf der MLIR-Kompilierungstechnologie basiert, und entwarfen eine Werkzeugkette für EDA wie TensorFlow oder PyTorch in Software. Das CIRCT-Projekt ist das gleiche wie MLIR, und es ist einfach, ökologische Konsistenz in Hardware-Design und Software-Compilation zu schaffen.


Auf dem Weg der Open Source EDA-Software suchen inländische EDA-Akademiker und Industrien nach geeigneten Stellen, um zur Open Source EDA beizutragen.


Im Bereich der Open-Source-Chips startete das Institut für Computing Technology der Chinesischen Akademie der Wissenschaften im 2019 Projekte wie RISC-V-Prozessorchips und RISC-V-native Betriebssysteme und baute ein Open-Source-Chiptechnologiesystem mit kostengünstigen Vorteilen auf dem inländischen 28nm-Prozess. Darüber hinaus erforschen sie auch Open Source EDA, um Open Source Chips zu entwerfen. Basierend auf bestehenden Open Source Tools haben sie einen Python-basierten RTL to GDS2 Designprozess erstellt. Im August letzten Jahres nutzten fünf Studenten der National University of Science and Technology zwei Jahre lang Open Source EDA-Tools. Entwarf den Guohu-1 Chip und realisierte Tape out.


Die Chinesische Akademie der Wissenschaften hat auch AgileServe entwickelt, eine Cloud-Plattform für agiles Chipdesign, die verschiedene Open-Source-Elemente integriert, um Benutzer zu unterstützen, Prozessorchips und Softwareentwicklung schnell anzupassen und die Schwelle des Chipdesigns zu senken. Für Studenten kann Chipdesign jederzeit und überall durchgeführt werden; Für Lehrer ist es möglich, Schüler online zu führen.


Chen Gang, Executive Deputy General Manager von Nanjing Integrated Circuit Design Service Industry Innovation Center Co., Ltd. (im Folgenden als EDA Innovation Center bezeichnet), stellte die Verwendung von Open Source Methoden vor, um eine inländische EDA universelle Basis (openEDI) zu schaffen. In Anbetracht der Tatsache, dass die heimischen EDA-Punktwerkzeuge unabhängig sind und eine gemeinsame Basis für die häusliche Ökologie bilden, wird erwartet, dass die Punktwerkzeuge miteinander verbunden werden. Das Forschungs- und Entwicklungsziel der EDA-Universalbasis ist es, alle inländischen EDA-Werkzeuge zu unterstützen, einen kompletten Satz von EDA-Werkzeugketten zusammenzufassen und eine inländische ökologische Universalbasis zu werden. Das Bild unten zeigt die allgemeine Basisarchitektur von EDA. Der untere Teil ist die Datenschicht, die alle EDA-Datenbanken und Datenmodelle auf Speicher und Festplatte trägt, einschließlich verschiedener Parser-Module, Benutzerschnittstellenmodule usw. Die obere Schicht ist die allgemeine Algorithmus-Ebene und Berechnungsrahmen.


Lin Yibo von der Peking University stellte auf dem Treffen das bekannte Projekt "Deep Learning Assisted Place and Route Optimization" vor. Er beschrieb den Einsatz von Deep Learning zur Verbesserung traditioneller Ort- und Routenalgorithmen. In diesem Prozess müssen Open Source Ort und Route Tools verwendet werden, sonst wird es sehr schwierig. Es ist schwierig, Deep-Learning-Proben zu erhalten. In Zukunft werden sie den Algorithmus weiter verbessern, Stabilität und Verallgemeinerungsfähigkeit erhöhen. Es wird erwartet, dass ein offener EDA-Datensatz wie ImageNet veröffentlicht wird, um den gegenseitigen Wettbewerb und die Entwicklung von Wissenschaft und Industrie anzuziehen.


Yan Hao vom National ASIC System Engineering Technology Research Center der Southeast University beschrieb die Entwicklung von Breitspannungs-Nahschwellendesign-Methodik und Open Source EDA. Er stellte vor, dass die technische Herausforderung von EDA-Werkzeugen die Entwicklung von Abweichungsmodellierungs- und Optimierungsalgorithmen ist, die durch die sich entwickelnden Prozess- und Ätzdimensionen hervorgerufen werden. Er wies darauf hin, dass bei der Forschung die größte Herausforderung darin besteht, viel Energie zu investieren, um den Code anderer Leute zu reproduzieren. Daher kooperieren sie auch mit inländischen EDA-Unternehmen wie NiiCEDA und investieren aktiv in die Entwicklung von Open Source Timing Analyse Tools.


Zhu Zhufei von der Ningbo Universität teilte den Forschungsfortschritt zu automatischen Synthese-Tools für multilogische Domänen mit. Er sagte, dass Logiksynthese darin besteht, alle RTL-Level-Beschreibungen von digitalen Schaltungen in die Verbindungen der Einheitsschaltungen in der spezifizierten Prozessbibliothek unter der Bedingung der Erfüllung von Einschränkungen umzuwandeln. Logiksynthese umfasst hauptsächlich drei Stufen: Übersetzung, Optimierung und Prozessabbildung. Er wies darauf hin, dass die aus verschiedenen logischen Gesamtsätzen entwickelten umfassenden Methoden auf unterschiedliche Szenarien anwendbar sind. Die bestehenden EDA-Tools basieren alle auf der traditionellen Booleschen Logik. Neue Arten von Betreibern können mehr Möglichkeiten bieten, wie z.B. Polaritätstransformation. Reed-Muller, TB und RM duale Logik usw. In Bezug auf EDA Open Source wies er auf den Entwicklungstrend von Logiksynthese-Tools hin: 1. KI für EDA, unter Verwendung von KI-Methoden, um die Reihenfolge der Logiksyntheseoptimierungstransformation abzuleiten, so dass Ingenieure ohne Erfahrung ein Optimierungsskript erhalten können; 2. EDA für KI, Verwendung Die logische Operation wird in Form einer Nachschlagetabelle umgekehrt, um den gleichen Zweck wie das Trainingsgewicht des neuronalen Netzwerks zu erreichen; 3. Optimale Lösung, gegeben einer digitalen Schaltung, hängt die vorhandene Methode stark vom SAT-Löser ab, um die minimale Anzahl von Knoten, minimale Logiktiefe usw. zu erhalten. Hervorragende Lösung, aber der SAT-Löser selbst ist ein heuristischer Algorithmus, und die digitale Schaltungssimulation kann SAT helfen, eine effizientere Suche durchzuführen; 4. Technology Enabler, entwickeln Sie neue logische Abstraktionsmethoden und unterstützen Sie bei der Bewertung neuer Prozesse wie zweidimensionale Materialien und Entwicklung. Unabhängig vom Trainingsset profitieren Suchoptimierung und neue Modelle vom Open Source Ökosystem.


Wo geht die Open Source EDA hin?

Das Open Source EDA Ökosystem steht vor vielen Schwierigkeiten von 0 bis 1. Wie kommt man durch den geschlossenen Kreislauf des Open Source EDA Ökosystems? Wie kann die nachhaltige Entwicklung der Ökologie aufrechterhalten werden? Wie kann man ökologische Risiken wie Tapeout-Ausfall, IP-Leckage und Patente verhindern? Wo sollen wir in Zukunft hin? Zu diesen Themen hatten die anwesenden Wissenschaftler und Experten unterschiedliche Meinungen und führten intensive und sinnvolle Diskussionen.


Von 0 bis 1 beginnt die Ökologie von Open Source EDA aus der akademischen Welt

Anders als herkömmliche Open-Source-Software sind die Datenstruktur, Codequalität und Algorithmus von Open-Source-EDA-Software extrem professionell, und die Benutzergruppe ist klein, was den Umfang der Open-Source-Community begrenzt. Im Laufe der Geschichte begannen die Hightech-Unternehmen des Silicon Valley, so klein wie Sterne und Meere, alle mit universitären Innovationen. EDA ist dasselbe. Zuerst kultivieren Sie die erste Gruppe von Benutzern von Open Source EDA in Hochschulen und Universitäten, einschließlich Lehrern und Studenten, lassen Sie sie Open Source Tools auf innovative Weise verwenden, und dann durch kontinuierliche Nutzung und iterative Verbesserung von Tools, werden sie in Zukunft nutzbar sein. Abschluss.


Es ist aber auch eine Herausforderung, das geschlossene EDA-Ökosystem aus der akademischen Welt zu fördern und zu erhalten. Das wichtigste Thema ist die Entwicklung der Beteiligung der Wissenschaft an Open Source EDA und die kontinuierliche Pflege von Open Source Projekten. Aus utilitaristischer Sicht verschwindet die Motivation, Open-Source-Projekte aufrechtzuerhalten, wenn Hochschulforscher ihre Forschungsinteressen oder das Ende des Projekts übertragen. Studenten verbringen jahrelange Erfahrung mit dem Lernen und Beherrschen von Open Source EDA-Tools, es ist besser, kommerzielle Tools zu beherrschen, die praktischer für die Jobsuche sind; Es gibt nicht viel Open-Source-Arbeit für Studenten, und Studenten implementieren einen Algorithmus oder ein Tool manchmal schneller, als den Code eines Open-Source-Projekts zu hacken (oder sogar zu debuggen). Wenn es nach dem Abschluss keine gute Dokumentation und ein hochwertiges Codemanagement gibt, dann reicht die weiterführende akademische Weiterentwicklungsmotivation nicht aus.


Daher empfiehlt das Forum, die akademischen Bewertungsstandards der Universitäten angemessen zu lenken, damit Open-Source-Projekte mit hoher Code- und Dokumentenqualität sowie Projekte mit vielen Sternen auf Code-Hosting- und Kollaborationsplattformen wie Github/Gitee zu akademischen Visitenkarten für Hochschulwissenschaftler, Studierende und Unternehmensexperten werden. Der Start und die Pflege von Open-Source-EDA-Projekten wird für Studenten ein großer Anreiz sein, eine Anstellung zu finden.


Die Einrichtung eines Open-Source-EDA-Ökosystems erfordert auch einen Benchmark-Testsatz, insbesondere einen industriellen Benchmark-Testsatz, der einen End-to-End-Prozess abschließen kann; Wie man von einem kleinen Datensatz in der Wissenschaft zu einem fortgeschrittenen Design auf industrieller Ebene übergeht, ist ein Thema, das diskutiert werden muss. Können bekannte Chip-Design-Unternehmen in der Branche einige desensibilisierte Designs bereitstellen, so dass die Open-Source-Community dies als Referenz für akademische Forschung und Studentenwettbewerbe verwenden kann, um das Ausmaß der Open-Source-Community zu erweitern. Insbesondere in der heutigen Zeit, in der Deep Learning-Technologie eng mit dem EDA-Bereich integriert ist, ist ein "ImageNet" im Bereich Chipdesign erforderlich, um den Wohlstand der Gemeinschaft zu fördern.