Chính xác sản xuất PCB, PCB tần số cao, PCB cao tốc, PCB chuẩn, PCB đa lớp và PCB.
Nhà máy dịch vụ tùy chỉnh PCB & PCBA đáng tin cậy nhất.
Chất nền IC

Chất nền IC - Bảng mạch tích hợp chip bán dẫn

Chất nền IC

Chất nền IC - Bảng mạch tích hợp chip bán dẫn

Bảng mạch tích hợp chip bán dẫn

2021-08-19
View:831
Author:T.Kim

Chip bán dẫn - Làm thế nào chúng ta nên phá vỡ điều này


Chip, còn được gọi là bảng mạch tích hợp, là một dạng nhỏ của các thành phần bán dẫn, các thành phần không hoạt động, v.v., có thể tích hợp một số lượng lớn các bóng bán dẫn vi mô vào một chip nhỏ.

Chất bán dẫn

Bảng mạch tích hợp - IC

Do đó, chip bao gồm một transistor loại nút, các thành phần bán dẫn trạng thái rắn khác nhau (diode, transistor loại nút), những tiến bộ trong công nghệ bán dẫn giữa và cuối năm 2000 đã làm cho việc cải tiến chip mạch tích hợp có thể, từ lắp ráp thủ công đến sử dụng các thành phần điện tử ly hợp bảng mạch tích hợp đáng tin cậy hơn, Hiệu suất cao (kích thước nhỏ khoảng cách ngắn nhanh chóng chuyển đổi các thành phần năng lượng thấp, tiêu thụ năng lượng thấp), chi phí thấp (công nghệ bảng ánh sáng, năng suất cao).

Trong quá khứ, các quốc gia và cộng đồng người dân đã đấu tranh để giành lấy các nguồn thực phẩm như đất đai, dân số, vật liệu đốt, thị trường... Những nguồn tài nguyên này đòi hỏi sự kết nối giao thông cơ bản, vì vậy chúng tôi đã xây dựng nhiều con đường và cầu để mang những nguồn tài nguyên này và làm cho chúng hoạt động. Khoa học cơ bản đã không bị phá vỡ về cơ bản kể từ Thế chiến II, và nhiều lĩnh vực như năng lượng, vật chất và vật liệu vẫn bị đình trệ. Nó đang tìm kiếm sự sống còn tốt hơn và cơ sở hạ tầng kỹ thuật số đã trở thành đỉnh cao mới. ion hóa


1. Cơ sở hạ tầng kỹ thuật số:

Trong thời đại kinh tế kỹ thuật số, chúng tôi đã trở thành yếu tố sản xuất trung tâm và nguồn lực chiến lược. Các phần mềm và phần cứng cơ bản như mạng, lưu trữ, tính toán và ứng dụng xung quanh vòng đời số hóa đã trở thành cơ sở hạ tầng mới không thể thiếu trong sản xuất, sinh tồn và tiến bộ hình thái xã hội. Với cơ sở hạ tầng mới này, chúng tôi đã quản lý thành công "thế giới vô hình" đằng sau không gian vật lý. Dựa trên động lực quốc tế hiện tại và tác động của dịch bệnh, cơ sở hạ tầng kỹ thuật số có thể đóng một vai trò kích thích đầu tư, ổn định sự tham gia vào công việc và hồi sinh nền kinh tế. Không giống như cơ sở hạ tầng truyền thống trong quá khứ, cơ sở hạ tầng kỹ thuật số là lĩnh vực năng động nhất của nền kinh tế hiện nay.


Cơ sở hạ tầng kỹ thuật số là nền tảng và bảo đảm cho sự tiến bộ của nền kinh tế kỹ thuật số và là động lực mới cho sự phát triển chất lượng cao của nền kinh tế. Do đó, trong tương lai gần, thế giới sẽ có xu hướng đầu tư mạnh vào cơ sở hạ tầng kỹ thuật số.


2. Thông tin và tính toán:

Các ứng dụng của cơ sở hạ tầng kỹ thuật số xoay quanh khả năng truyền thông và tính toán, chuyển thành 5G và chip, như chúng ta biết rõ. Danh tiếng truyền thông 5G liên kết và tóm tắt các giá trị kết hợp với nhau, trong khi sức mạnh tính toán là cách xử lý thông tin tổng hợp.


Trong nền kinh tế truyền thống, dây chuyền sản xuất, máy móc và các phương tiện khác nhau được sử dụng làm công cụ sản xuất, trong khi đất đai, năng suất và vật liệu đốt hóa thạch trở thành yếu tố sản xuất trung tâm; Tương ứng với cơ sở hạ tầng kỹ thuật số mới, AI, 5G, IoT và điện toán đám mây đã trở thành các công cụ sản xuất mới, trong khi sức mạnh và giá trị tính toán đã trở thành yếu tố sản xuất cốt lõi của các công cụ được đề cập ở trên. Sức mạnh tính toán và giá trị đã trở thành điểm cao cạnh tranh trong thế giới ngày nay.


-. Giao tiếp:

Trong lĩnh vực truyền thông, Trung Quốc rất xứng đáng. Cho đến nay, Huawei có số lượng bằng sáng chế 5G lớn nhất trên thế giới và công nghệ tích hợp của nó đang ở cấp độ thế giới. Tuy nhiên, chúng tôi không thể không lạc quan về lĩnh vực chip, đặc biệt là chip cao cấp S. Mặc dù chúng tôi có một số lượng lớn các công ty chip bán dẫn như Midcore International và vi điện tử Trung Quốc, nhưng họ không chuyên về tất cả các lĩnh vực, ngành công nghiệp chip cần sự phối hợp tiến bộ của toàn bộ chuỗi công nghiệp, đặc biệt là về một công nghệ quan trọng.


-. Tính toán:

Trong thời đại IoT hiện nay, việc truy cập thông tin đáng tin cậy là vô cùng thuận tiện. Ngay cả trong tương lai gần, khi thông tin đủ rộng và sức mạnh tính toán đủ mạnh để các quyết định của quốc gia có thể được chuyển đến máy tính, tất cả những gì chúng ta phải làm là đưa ra các quy tắc hoạt động, đó là các thuật toán. Thông tin đa ngành cho phép tính toán hợp tác nảy mầm nhu cầu, năng lực sản xuất và thị trường mới và giúp nền kinh tế thế giới tiến bộ. Vào thời điểm đó, trò chơi giữa các quốc gia sẽ rất lớn và có khả năng biến thành trò chơi giữa hai siêu máy tính. Ai có quyền truy cập vào nhiều dữ liệu hơn, hỗ trợ các thuật toán khoa học và cuối cùng nổi bật với sức mạnh tính toán mạnh hơn để đưa ra lựa chọn chính xác.


IDC, một công ty kỹ thuật số quốc tế, dự báo trước rằng nền kinh tế kỹ thuật số sẽ chiếm 67% GDP của Trung Quốc vào năm 2023. Sức mạnh tính toán mạnh mẽ và độc lập sẽ trở thành nền tảng cho sự tiến bộ kinh tế của Trung Quốc, và đầu tư và phát triển sức mạnh tính toán là yếu tố cốt lõi sẽ trở thành kế hoạch dài hạn của Trung Quốc. Không còn nghi ngờ gì nữa, các quốc gia chiếm đóng cả hai lĩnh vực này sẽ đạt được sức mạnh thực tế đáng kể trong nhiều thập kỷ tới, thậm chí đạt được trật tự thế giới sau ngày bỏ phiếu.


Một chất bán dẫn là một cái gì đó có tính dẫn điện giữa một chất dẫn và một chất cách điện (sẽ được thảo luận chi tiết dưới đây). Nó không được cấp phép rộng rãi cho đến những năm 1930 khi công nghệ làm sạch vật liệu cao được cải thiện. Chất bán dẫn chủ yếu bao gồm mạch tích hợp, phần tử quang điện, phần tử tách biệt và cảm biến. Bởi vì mạch tích hợp chiếm hơn 80% thành phần, chất bán dẫn thường được gọi là mạch tích hợp. IC được chia thành các bộ vi xử lý, bộ nhớ, các đơn vị luật tư duy và các thành phần bắt chước. Vì vậy, chúng tôi cũng biến nó thành một con chip.


Lịch sử phát triển chip


Intel là gã khổng lồ chip trong lĩnh vực máy tính cá nhân. Lịch sử phát triển của nó về cơ bản đại diện cho lịch sử phát triển của chip. Hãy xem lịch sử phát triển của nó:

Lịch sử của chip

Lịch sử của chip

Năm 1971, bộ vi xử lý thương mại đầu tiên của Intel, 4004, được giới thiệu, tích hợp 2.250 bóng bán dẫn và 60.000 phép tính mỗi giây. Sự tiếp xúc của nó là một cuộc cách mạng, mang lại cuộc cách mạng máy tính và Internet tiếp theo và tiếp tục thay đổi toàn bộ thế giới.

Bộ vi xử lý 8086 nổi tiếng của Intel được giới thiệu vào năm 1978 và được áp dụng cho máy tính IBM vào năm 1981. Sau đó là các mô hình tiếp theo như 80286.

Năm 1985, Intel nghiên cứu và sản xuất bộ xử lý 32-bit đầu tiên 80386. Với khả năng tương thích và hợp tác với IBM PC, Intel đã thiết lập vững chắc vị trí dẫn đầu trong thị trường máy tính tương thích và gia nhập thị trường Trung Quốc trong cùng năm. Ngoài ra còn có các mô hình cải tiến 80486, 586, v.v... Litter nhớ rằng máy tính xử lý Win95 đầu tiên được sử dụng trong một giờ là chip dòng 80486.

Năm 1993, Intel giới thiệu Pentium. Tại thời điểm này, số lượng transistor đạt 3,2 triệu. Tăng cường mạnh mẽ kinh nghiệm tính toán điểm nổi, thực hiện thành công toàn diện các chức năng như hình ảnh, âm thanh, điện ảnh và truyền hình, v. v. Trong thập kỷ tiếp theo, các con số được cập nhật tiếp tục và Intel trở thành tên đại diện cho các chip cao cấp.

Năm 1001, Itanium, bộ vi xử lý 64-bit đầu tiên của Intel, được sinh ra chủ yếu cho nền tảng điện toán cấp công ty cao cấp, đó là máy chủ, vượt qua các đối tác để trở thành nhà lãnh đạo trong chip máy chủ.

6. Trong năm 2006, bộ xử lý lõi kép mà chúng ta biết đến đã xuất hiện, đó là những gì chúng ta gọi là dòng I3, i5 và i7. Được giới thiệu vào năm 2008, Core i7 là bộ xử lý lõi tứ đầu tiên. Bộ sưu tập cốt lõi bền bỉ. Cho đến nay, máy tính cá nhân của chúng tôi về cơ bản sử dụng dòng Core (các biến thể khác của AMD hoặc Intel trên Core).

Vào năm 2014, Intel đã giới thiệu dòng bộ xử lý Xeon E7, với 15 trung tâm xử lý trở thành bộ xử lý có số lượng lớn nhất trong số các trung tâm của Intel. Xeon chủ yếu được sử dụng trong lĩnh vực máy chủ và có thể được sử dụng trong kỹ thuật xử lý Internet, hình ảnh và đa đài truyền hình, v.v.

8. Sau khi Intel mua Mobileye từ khắp nơi vào năm 2017, họ bắt đầu tiến bộ theo hướng tích hợp AI "thuật toán+chip". Trong môi trường AI thông minh, Nvidia và Intel đang sử dụng các công nghệ như mạng lưới cơ quan thần kinh học sâu để tạo ra các chip AI, chiếm lĩnh các thị trường mới.


Nhìn vào lịch sử phát triển của mạch tích hợp trong 30 năm qua, số lượng bóng bán dẫn đã tăng gấp đôi sau mỗi 1,5 năm. Khi kích thước bề mặt đơn vị hoặc vật thể tăng lên, kích thước tổng thể của chip giảm từ lớn xuống nhỏ, chi phí monomer bề mặt và công suất chuyển mạch giảm. Đồng thời, tất cả các chỉ số hiệu suất đã được tăng cường, cụ thể là số lượng bóng bán dẫn và hiệu suất của chip tăng gấp đôi sau mỗi 24 tháng. Lịch sử tiến bộ của chip, tuân theo luật MOLLE, là lịch sử của mạch tích hợp.


Có thể nói rằng phần cứng của ngành công nghiệp CNTT dựa trên ngành công nghiệp bán dẫn, bao gồm các bóng bán dẫn (bao gồm diode, trielectrode, hiệu ứng trường, thyristor, v.v., và đôi khi đặc biệt là các thành phần lưỡng cực). Hãy bắt đầu với chất bán dẫn và bóng bán dẫn (các nguyên tắc khác gần như giống nhau).


1. Chất bán dẫn:

Khi nói đến chip, chúng ta phải nói đến chất bán dẫn. Trên thực tế, việc phát hiện ra chất bán dẫn cũng được phát triển bởi cơ học lượng tử. Chúng ta hãy đề cập đến nó từ cấp độ của các nguyên tử vật lý. Tất cả chúng ta đều biết rằng các nguyên tố khác, ngoại trừ h và he, đều ở trạng thái ổn định của 8 electron bên ngoài. Kiến thức hóa học cũng cho chúng ta biết rằng tĩnh điện (liên kết hóa học) làm cho hai nguyên tố liên kết với nhau có liên kết ion và cộng hóa trị (liên kết kim loại gần giống với liên kết cộng hóa trị).


Liên kết ion thường tồn tại giữa kim loại và phi kim loại. Ví dụ, nguyên tử Na mất một electron và trở thành hạt Na+, nguyên tử Cl thu được một electron và trở thành hạt Cl, và hai nguyên tử trở thành điện tích khác giới. Thông qua dòng điện, chúng bị thu hút bởi năng lượng từ tính và trở thành NaCl, tức là muối và natri clorua; Liên kết cộng hóa trị thường yêu cầu liên kết các nguyên tố phi kim loại. Các nguyên tử khác nhau có thể tạo thành các cặp electron cạnh nhau với các electron hạt nhân bổ sung, cho phép lớp ngoài cùng tạo thành trạng thái ổn định 8 electron, chẳng hạn như nitơ.


Hiện tại, chúng tôi đã kiểm tra kỹ lưỡng rằng lớp ngoài cùng của các nguyên tố nhóm C trong bảng tuần hoàn chỉ có bốn electron và không dễ dàng bỏ lỡ hoặc thu được electron. Đó chính là khái niệm Semiconductor. Tuy nhiên, khi số lượng các lớp electron tăng lên, các electron trong nhóm nguyên tố này (các nguyên tố sau Si Ge, Sn, Pb, v.v.) ngày càng dễ bị mất. Silicon Si được phát hiện là vật liệu bán dẫn tốt nhất trong mắt chúng ta do số lượng lớp electron thích hợp và số lượng electron ở lớp ngoài cùng. Đây cũng là nguồn gốc của Thung lũng Silicon, nơi tập trung các ngành công nghiệp công nghệ cao trên thế giới. "Thung lũng Silicon" cũng là nơi đầu tiên nghiên cứu và sản xuất chip bán dẫn dựa trên silicon vì nó được đặt tên.


2. Transistor và mạch tích hợp:

Ống pha lê và mạch tích hợp

Ống pha lê và mạch tích hợp

Diode là một trong những transistor. Nó là một linh kiện điện tử được làm từ vật liệu bán dẫn (silicon, selen, germanium, v.v.) có thể dẫn điện theo một hướng. Đó là, nó dẫn điện khi anode và cathode của diode được cung cấp điện áp chuyển tiếp và kết thúc khi được cung cấp điện áp đảo ngược, tương đương với việc kết nối và ngắt kết nối của công tắc. Bây giờ chúng ta có sự khác biệt cơ bản nhất về tín hiệu. Ví dụ, chúng tôi ghi lại dẫn hiện tại là 1 và phá vỡ là 0. Đây là ngôn ngữ máy tính 0 và 1 mà chúng ta rất quen thuộc. Bây giờ C, C++, JS và H5 đã trở thành ngôn ngữ, đó cũng là một cách để dịch 01 ngôn ngữ này sang một cách mà chúng ta có thể dễ dàng hiểu và chỉnh sửa.


Sau khi diode ra đời, chúng ta có thể đặt trước các quy luật tư duy ban đầu. Tất cả những người đã học một khóa học về các nguyên tắc điều khiển bán tự động đều biết rằng có một mạch và hoặc không cổng (ví dụ, và cổng đã thành công trong việc đạt được đầu ra của 1 cùng một lúc). Các mạch cửa khác nhau là song song và nối tiếp. Các mạch cửa có vẻ đơn giản, được sắp xếp và kết hợp bởi hàng trăm triệu mạch, có thể thực hiện thành công các tính toán rất phức tạp. (Trong đó các thiết lập trước kết hợp sắp xếp mạch cửa không chỉ là thiết lập trước của công nghệ chip, mà còn là yếu tố cốt lõi của hiệu suất chip biểu quyết, đòi hỏi tích lũy công nghệ lâu dài), và chip là một bộ sưu tập của mạch tính toán này, đó là IC mạch tích hợp.


Quá trình sản xuất chip tương đối phức tạp, nhưng thường được chia thành ba bước: Thiết kế, sản xuất và thử nghiệm đóng gói.


1. Đặt trước:

Cài đặt trước front-end, mô phỏng front-end, cài đặt trước back-end, xác minh, mô phỏng sau, đăng xuất tìm kiếm và sau đó gửi số liệu thống kê thiết lập đến nhà máy đại lý.


Chúng ta cần biết một nguyên tắc về các cài đặt trước. Để thực hiện thành công một chức năng nhất định, cài đặt trước chip phải phụ thuộc vào kiến trúc được cài đặt trước. Cho đến nay, kiến trúc chip chủ đạo bao gồm x86 (độc quyền của Intel và AMD, thống trị thị trường PC), arm (tiện ích di động), risc-v (đang lên, được sử dụng rộng rãi trong các thiết bị đeo thông minh), MIP (chủ yếu được sử dụng trong các hộp set-top gateway), vì kiến trúc arm có vị trí độc đáo là tiêu thụ điện năng thấp và chi phí thấp, Nó đặc biệt phổ biến trong các thiết bị di động như điện thoại di động (kiến trúc ARM và x86 là hai trong số các kiến trúc có thị phần lớn nhất).


Kiến trúc chip được đề cập ở trên chỉ là một điều kiện tiên quyết. Toàn bộ quá trình cài đặt trước của chip yêu cầu phần mềm EDA. Tóm lại, phần mềm EDA có thể được hiểu là phần mềm CAD mà chúng ta thường sử dụng vì mạch chip rất phức tạp và nhỏ, chứa hàng chục tỷ thành phần. Vị trí không chính xác của các thành phần hoặc mạch có thể khiến toàn bộ chip không hoạt động. Phần mềm EDA có thể bán tự động cài đặt trước quá trình để đảm bảo hoạt động của chip. Bên đặt trước chip chỉ cần bỏ phiếu cho một số vị trí chính.


2, Sản xuất:

Dây chuyền sản xuất

Dây chuyền sản xuất

Oxy hóa - lắng đọng phim - in thạch bản - khắc - truyền ion - rửa.

Đầu tiên, chúng tôi chiết xuất silicon đơn giản có độ tinh khiết cao từ silica, cát ở nhiệt độ cao. Silicon đơn giản là một cấu trúc tinh thể với các nguyên tử gọn gàng và liên kết cộng hóa trị để tạo thành các phân tử lớn. Nhân viên văn phòng cắt silicon thành các miếng tròn để sản xuất chip.

Gelatin được áp dụng đồng đều trên tấm silicon, ánh xạ ánh sáng được kiểm soát (máy in thạch bản), thay đổi tài sản của gelatin (hòa tan trong nước) ở một vị trí cụ thể, sau đó rửa sạch bằng nước để có được khe silicon.

Khi các tạp chất như các lớp silicon đa tinh thể nhạy cảm với ánh sáng được thêm vào các khu vực được chỉ định cụ thể, chẳng hạn như phốt pho trong quét và điốt, mạch quy tắc tư duy được hình thành liên tục trong các rãnh, thường được gọi là tưới hạt.

Phần còn lại cũng có thể được bao phủ bởi một lớp phủ nhạy sáng, nơi silicon có thể bị ăn mòn bởi một dung dịch ăn mòn để tạo thành bóng bán dẫn.

Tất nhiên, bạn cũng có thể trộn các vật liệu kim loại để tạo thành dây, điện hoặc điện trở.

Quá trình này có thể được lặp lại nhiều lần (thường không dưới 20 lần) để có được mạch tích hợp mà chúng ta mong đợi, một đĩa tinh thể lớn chứa nhiều chip.


3, Kiểm tra đóng gói:

Như đã đề cập ở trên, sau khi chip được sản xuất, nó không phải là một sản phẩm hoàn chỉnh, mà là một wafer lớn, yêu cầu người kiểm tra chip để kiểm tra, cắt và đóng gói.


Thử nghiệm thỏa đáng có thể cho phép các sản phẩm không đáp ứng các tiêu chuẩn chất lượng được loại bỏ trước khi chúng đến tay người dùng, điều này rất quan trọng để cải thiện sản lượng và chất lượng cũng như thiết lập một chu kỳ sản xuất và tiếp thị lành tính. Máy thử nghiệm này là một thử nghiệm thành công để xác minh rằng chip đáp ứng các mục đích đặt trước, nghiên cứu tác động của sự thay đổi nền đối với nó và tuổi thọ không đồng đều.


Tính đến năm 2019, Trung Quốc đã chi hơn 300 tỷ USD cho chip nhập khẩu (hơn 200 tỷ USD chỉ riêng nhiên liệu), mua 1/3 chip toàn cầu và hơn 90% trong số đó phụ thuộc vào nhập khẩu. Có thể thấy rằng sự phụ thuộc của chúng ta vào chip vẫn còn khá lớn. Nghiên cứu hiện trạng chip bán dẫn của Trung Quốc, trước hết phải xem toàn bộ quá trình phân công của ngành công nghiệp chip.


Chuỗi công nghiệp chip thế giới:

Công ty Precision Trung Quốc, trái cây ở nước ngoài, AMD, Qualcomm và các nhà sản xuất nổi tiếng khác thường chỉ làm cài đặt trước, chúng tôi gọi nó là cài đặt trước chip wafer miễn phí; Sau khi cài đặt trước, giao bản vẽ cho các nhà máy sản xuất chip của bên thứ ba như TSMC hoặc Samsung; Sau khi sản xuất, nó không phải là một sản phẩm hoàn chỉnh, mà là một tinh thể liên kết silicon tròn khổng lồ. Nó phải được cung cấp cho màu sắc hàng ngày và bảo mật. Loại doanh nghiệp này sử dụng phần mềm EDA để kiểm tra, cắt và đóng gói, cuối cùng tạo thành chip mà chúng ta thường thấy.


Hầu hết các quy trình sản xuất chip được mô tả ở trên, nhưng có những ngoại lệ hiếm hoi. Ví dụ, toàn bộ quá trình của các doanh nghiệp siêu lớn như Intel, Samsung được cân bằng riêng, tức là cài đặt trước, sản xuất, thử nghiệm và đóng gói được thực hiện bởi chính họ. Chúng ta thường gọi phong cách tiêu chuẩn này là phong cách tiêu chuẩn IDM. Trên thực tế, ban đầu tất cả chúng tôi đều sản xuất chip theo phong cách tiêu chuẩn IDM, nhưng sau đó chúng tôi đã xem xét chi phí và tốc độ. Dù sao, tự mình xây dựng một dây chuyền sản xuất quá tốn kém, hơn nữa thăng cấp rất nhanh. Sau khi các cơ sở được đặt ở đó để khấu hao.


Nhu cầu này sau đó đã dẫn đến sự xuất hiện của các doanh nghiệp như TSMC, cải thiện đáng kể công suất với tiền đề kiểm soát chi phí. Tuy nhiên, điều này cũng mang lại một thay đổi khác, đó là nhóm ngưỡng giảm trong ngành công nghiệp chip. Đã từng có hàng trăm tỷ người không thể chạm tới ngưỡng cửa của ngành công nghiệp chip. Hiện tại chỉ cần đầu tư hơn mười đến hàng tỷ chip cài đặt sẵn phát triển, là có thể tìm được người chế tạo chip.


So sánh cài đặt trước chip Trung Quốc, sản xuất và thử nghiệm gói với tiêu chuẩn thế giới:


Sau khi hoàn thành chuỗi công nghiệp chip thế giới, chúng ta hãy quay trở lại quy trình công nghệ của chính chip, tức là cài đặt trước, sản xuất và thử nghiệm đóng gói. Chúng ta hãy phân tích từ ba khía cạnh này.


1, Chip cài đặt trước:

Chip preset thường được chia thành: front-end preset, front-end mô phỏng, back-end preset, verification, post-emulation, kiểm tra hạt nhân, và sau đó số liệu thống kê được thiết lập được gửi đến OEM.

Như đã đề cập ở trên, nhiều công ty lớn, bao gồm Huawei HiSilicon, chỉ làm pre-chip, vì vậy HiSilicon về cơ bản là một doanh nghiệp pre-chip.


1. Kiến trúc cánh tay:

Như đã đề cập ở trên, kiến trúc chip chủ đạo cho đến nay bao gồm x86 (độc quyền cho Intel và AMD, thống trị thị trường PC), cánh tay (tiện ích di động), risc-v (đang lên, được sử dụng rộng rãi trong các thiết bị đeo thông minh), MIP (chủ yếu cho các cổng và hộp set-top), Sự độc đáo của chi phí thấp đặc biệt bị ảnh hưởng bởi mắt xanh của các thiết bị di động như điện thoại di động (kiến trúc ARM và x86 là hai kiến trúc lớn nhất về thị phần).


Công ty Precision của chúng tôi đến từ nghiên cứu và phát triển thứ cấp dựa trên kiến trúc phiên bản doanh nghiệp công cộng của ARM. Mặc dù ARM là một doanh nghiệp của Anh và tuyên bố không bị ảnh hưởng bởi khu vực thương mại của quốc gia A, nhưng hành vi của ARM đã không ổn định trong năm qua. Cho đến nay, các báo cáo rằng nó sẽ được mua lại bởi NVIDIA từ khắp nơi trên thế giới cũng có vẻ rất không đáng tin cậy. Nếu chúng ta không cho phép Precision độc lập cài đặt sẵn các chip cho các tập lệnh thế hệ tiếp theo, thì sẽ rất khó khăn.


2. Cài đặt trước EDA:

Cấu trúc chip là tiền đề của cài đặt trước. Khi bạn chọn một địa điểm xây dựng và xi măng xốp, bạn cũng sẽ cần một kế hoạch xây dựng cụ thể, đó là cài đặt trước chip. Trong quá trình này, chúng tôi đã nói về toàn bộ quá trình đòi hỏi phần mềm EDA (tương tự như phần mềm CAD trong ngành xây dựng). Như đã đề cập ở trên, phần mềm EDA có thể bán tự động cài đặt sẵn chip cho toàn bộ quá trình để đảm bảo hoạt động thành công của nó. Các nhà thiết kế chỉ cần thay đổi một vài vị trí quan trọng để giảm đáng kể rủi ro không thể kiểm soát.


Các công ty chính xác của chúng tôi chủ yếu sử dụng phần mềm từ Ming Dao International, Shinsi Technology và Kaiden Electronics. Cả ba đều là những công ty phần mềm EDA lớn nhất thế giới và đều là các công ty Mỹ.


Nhà cung cấp phần mềm EDA thông minh cũng cung cấp phần mềm EDA miễn phí cho các nhà máy thế hệ như TSMC, yêu cầu các nhà máy thế hệ cung cấp bóng bán dẫn, ống MOS, điện trở, tụ điện cho phần mềm EDA, Các gói kỹ thuật số liên tục được tối ưu hóa và cập nhật nhiều lần (đôi khi một tháng) và hình thành xác minh và ràng buộc với phần mềm, vì vậy về cơ bản chỉ hỗ trợ các phiên bản mới nhất. Không giống như phần mềm lậu, chúng tôi vẫn có thể sử dụng phiên bản cũ hơn mà không cần cập nhật sau Đạo luật Cấm. Nếu chúng ta không cần phiên bản mới nhất của phần mềm để xác minh chip, rất có thể chip được đặt trước sẽ không hoạt động, dẫn đến lỗi phát trực tuyến có nghĩa là hàng trăm triệu tiền đã bị mất và rủi ro chi phí rất cao.


Hoa Đại Cửu Thiên cuối cùng cũng trở thành doanh nghiệp dẫn đầu về phần mềm EDA của Trung Quốc. Sau nhiều năm tiến bộ, nó đã có thể chịu trách nhiệm về một số lĩnh vực. Tuy nhiên, như đã đề cập ở trên, giống như chip bán dẫn S, nó đòi hỏi sự phù hợp của toàn bộ quá trình để bao gồm toàn bộ quá trình cài đặt trước của chip cao cấp và chúng tôi chỉ có thể bao gồm một số điểm.


2. Chế tác chip:

Quá trình sản xuất chip gần như có thể được chia thành: oxy hóa - lắng đọng màng - khắc - truyền ion - làm sạch;


TSMC chắc chắn là công ty mạnh nhất thế giới trong lĩnh vực sản xuất chip. Công nghệ mạnh mẽ và khả năng dẫn đầu của nó đảm bảo vị trí dẫn đầu của nó. Tuy nhiên, tất cả đều dựa trên việc sử dụng một số lượng lớn các cơ sở bán dẫn của Mỹ. Có thể lập luận rằng nếu không có sự hỗ trợ của công nghệ Hoa Kỳ, sẽ không có TSMC ngày nay. Do đó, nếu Quốc gia A ban hành lệnh cấm, TSMC sẽ có tùy chọn không xử lý chip cho chúng tôi sau khi cân nhắc các đơn đặt hàng và công nghệ cơ bản của họ.


Anh có thể nói chúng ta còn có Trung Tâm Quốc Tế? Sau nhiều năm nỗ lực, Core International, được đưa ra thị trường vào năm 2004, cuối cùng đã vượt qua các nút của quy trình 14nm trong thời gian 19 năm và cuối cùng đã đạt được một bước đột phá lớn. Tuy nhiên, trước tiên chúng ta phải nhận ra rằng TSMC đã cung cấp chip 7nm cho trái cây trong 18 năm, chậm hơn ít nhất hai thế hệ về quy trình và công nghệ. Thứ hai, ngay cả khi chúng tôi có thể chấp nhận các sản phẩm có kích thước, hiệu suất và điều hướng liên tục không tốt, Midcore International không thể làm điều đó cho chúng tôi. Trong quá trình sản xuất chip nói trên, trong liên kết khắc, vi điện tử của chúng tôi đã có thể áp dụng công nghệ tiên tiến hơn cho dây chuyền sản xuất 7Nm và 5nm. Tuy nhiên, trên hết, nó tụt hậu so với mức trung bình của thế giới. Trong khâu sản xuất, có rất nhiều công nghệ đến từ Mỹ. Ví dụ, Central Core International đã áp dụng chương trình của các doanh nghiệp vật liệu ứng dụng Hoa Kỳ. Vì vậy, nếu quốc gia A thực sự có lệnh cấm, CIC không thể sản xuất chip cho Huawei.


In thạch bản:

Thứ hai, chỉ có một công nghệ quan trọng có thể được đề cập trong sản xuất chip - công nghệ in thạch bản. Máy in quang khắc chiếu bản đồ mạch điện lên một tấm silicon được phủ keo quang khắc; Máy khắc ăn mòn bản đồ mạch nhánh song song trên tấm silicon mà bản đồ mạch vừa được vẽ. Hai cơ sở này bổ sung cho nhau và không thể thiếu một cơ sở nào.


Kỹ thuật in thạch bản EUV có độ khó cao (phiên bản cải tiến của DUV đã thay đổi thành công từ bước sóng lớn sang nhỏ sau khi ức chế thiếc kim loại lỏng, không còn được mô tả chi tiết ở đây). Sự phát triển này bắt đầu hơn 20 năm trước với sự tham gia của gần 40 quốc gia, bao gồm tất cả các nước châu Âu. Tuy nhiên, chỉ có Hoa Kỳ tin chắc rằng cuối cùng, khó khăn kỹ thuật vượt xa việc chế tạo bom nguyên tử. Trong chip hiện tại, chúng tôi phải thực hiện ít nhất 20 bản in (một lớp tại một thời điểm) và khi chúng tôi phóng to đồ họa của một lớp khắc nhiều lần, nó phức tạp hơn nhiều so với bản đồ địa hình của toàn bộ thành phố New York và vùng ngoại ô. Hãy tưởng tượng việc ghi lại bản đồ địa hình của toàn bộ New York và vùng ngoại ô (kích thước của bóng bán dẫn nhỏ hơn một trong những giới hạn của đường kính tóc) trên một con chip có kích thước bề mặt phẳng hoặc vật thể chỉ 100 mm vuông. Trình độ phức tạp của kết cấu có thể tưởng tượng được.


Vì vậy, in thạch bản là một kỹ thuật rất phức tạp và quan trọng. Độ chính xác và rõ ràng của nó trực tiếp quyết định trải nghiệm tính toán và chất lượng của chip. Chỉ có khả năng khắc chính xác hơn mới có thể thực hiện thành công ý tưởng của nhà thiết kế mạch ở quy mô vi mô. Không còn nghi ngờ gì nữa, công nghệ in thạch bản là tuyến đầu cạnh tranh giữa các quốc gia trong thời đại in thạch bản chip.


Lĩnh vực tiên tiến của công nghệ in thạch bản được độc quyền bởi công ty Hà Lan ASML (ASML) và máy in thạch bản 5 nanomet của nó đã được đưa vào sử dụng. Năm nay, bộ xử lý A14 của TSMC, dòng Qualcomm Snapdragon 875 và bộ xử lý Mascot 9000 đều được sản xuất tại nhà máy. Cho đến nay, máy in thạch bản của Trung Quốc là quy trình 28nm cho vi điện tử. Trong quá trình phát triển có một thời đại kinh nghiệm khác biệt, trong sản xuất hàng loạt có hai lần kinh nghiệm khác biệt. Đối với nhiều liên kết khác, chúng thậm chí chỉ mới bắt đầu.


3, Kiểm tra đóng gói:

Như đã đề cập ở trên, sau khi chip được sản xuất, nó không phải là một sản phẩm hoàn chỉnh, mà là một wafer lớn, yêu cầu người kiểm tra chip để kiểm tra, cắt và đóng gói.


Thử nghiệm thỏa đáng có thể cho phép các sản phẩm không đáp ứng các tiêu chuẩn chất lượng được loại bỏ trước khi chúng đến tay người dùng, điều này rất quan trọng để cải thiện sản lượng và chất lượng cũng như thiết lập một chu kỳ sản xuất và tiếp thị lành tính. Máy thử nghiệm này là một thử nghiệm thành công để xác minh rằng chip đáp ứng các mục đích đặt trước, nghiên cứu tác động của sự thay đổi nền đối với nó và tuổi thọ không đồng đều.


Tính đến năm 2019, Trung Quốc đã chi hơn 300 tỷ USD cho chip nhập khẩu (hơn 200 tỷ USD chỉ riêng nhiên liệu), mua 1/3 chip toàn cầu và hơn 90% trong số đó phụ thuộc vào nhập khẩu. Có thể thấy rằng sự phụ thuộc của chúng ta vào chip vẫn còn khá lớn. Nghiên cứu hiện trạng chip bán dẫn của Trung Quốc, trước hết phải xem toàn bộ quá trình phân công của ngành công nghiệp chip.


Chuỗi công nghiệp chip thế giới:


Công ty Precision Trung Quốc, trái cây ở nước ngoài, AMD, Qualcomm và các nhà sản xuất nổi tiếng khác thường chỉ làm cài đặt trước, chúng tôi gọi nó là cài đặt trước chip wafer miễn phí; Sau khi cài đặt trước, giao bản vẽ cho các nhà máy sản xuất chip của bên thứ ba như TSMC hoặc Samsung; Sau khi sản xuất, nó không phải là một sản phẩm hoàn chỉnh, mà là một tinh thể liên kết silicon tròn khổng lồ. Nó phải được cung cấp cho màu sắc hàng ngày và bảo mật. Loại doanh nghiệp này sử dụng phần mềm EDA để kiểm tra, cắt và đóng gói, cuối cùng tạo thành chip mà chúng ta thường thấy.


Hầu hết các quy trình sản xuất chip được mô tả ở trên, nhưng có những ngoại lệ hiếm hoi. Ví dụ, toàn bộ quá trình của các doanh nghiệp siêu lớn như Intel, Samsung được cân bằng riêng, tức là cài đặt trước, sản xuất, thử nghiệm và đóng gói được thực hiện bởi chính họ. Chúng ta thường gọi phong cách tiêu chuẩn này là phong cách tiêu chuẩn IDM. Trên thực tế, ban đầu tất cả chúng tôi đều sản xuất chip theo phong cách tiêu chuẩn IDM, nhưng sau đó chúng tôi đã xem xét chi phí và tốc độ. Dù sao, tự mình xây dựng một dây chuyền sản xuất quá tốn kém, hơn nữa thăng cấp rất nhanh. Sau khi các cơ sở được đặt ở đó để khấu hao.


Nhu cầu này sau đó đã dẫn đến sự xuất hiện của các doanh nghiệp như TSMC, cải thiện đáng kể công suất với tiền đề kiểm soát chi phí. Tuy nhiên, điều này cũng mang lại một thay đổi khác, đó là nhóm ngưỡng giảm trong ngành công nghiệp chip. Đã từng có hàng trăm tỷ người không thể chạm tới ngưỡng cửa của ngành công nghiệp chip. Hiện tại chỉ cần đầu tư hơn mười đến hàng tỷ chip cài đặt sẵn phát triển, là có thể tìm được người chế tạo chip.


So sánh cài đặt trước chip Trung Quốc, sản xuất và thử nghiệm gói với tiêu chuẩn thế giới:


Sau khi hoàn thành chuỗi công nghiệp chip thế giới, chúng ta hãy quay trở lại quy trình công nghệ của chính chip, tức là cài đặt trước, sản xuất và thử nghiệm đóng gói. Chúng ta hãy phân tích từ ba khía cạnh này.


1, Chip cài đặt trước:

Chip preset thường được chia thành: front-end preset, front-end mô phỏng, back-end preset, verification, post-emulation, kiểm tra hạt nhân, và sau đó số liệu thống kê được thiết lập được gửi đến OEM.

Như đã đề cập ở trên, nhiều công ty lớn, bao gồm cả HiSilicon, chỉ làm pre-chip, vì vậy HiSilicon về cơ bản là một doanh nghiệp pre-chip.


1. Kiến trúc cánh tay:

Như đã đề cập ở trên, kiến trúc chip chủ đạo cho đến nay bao gồm x86 (độc quyền cho Intel và AMD, thống trị thị trường PC), cánh tay (tiện ích di động), risc-v (đang lên, được sử dụng rộng rãi trong các thiết bị đeo thông minh), MIP (chủ yếu cho các cổng và hộp set-top), Sự độc đáo của chi phí thấp đặc biệt bị ảnh hưởng bởi mắt xanh của các thiết bị di động như điện thoại di động (kiến trúc ARM và x86 là hai kiến trúc lớn nhất về thị phần).


Các công ty có độ chính xác cao của chúng tôi đến từ nghiên cứu và phát triển thứ cấp dựa trên kiến trúc phiên bản doanh nghiệp công cộng của ARM. Mặc dù ARM là một doanh nghiệp của Anh và tuyên bố không bị ảnh hưởng bởi khu vực thương mại của quốc gia A, nhưng hành vi của ARM đã không ổn định trong năm qua. Cho đến nay, các báo cáo rằng nó sẽ được mua lại bởi NVIDIA từ khắp nơi trên thế giới cũng có vẻ rất không đáng tin cậy. Nếu chúng ta không cho phép Huawei đặt trước một cách độc lập các chip cho các tập lệnh thế hệ tiếp theo, thì sẽ rất khó khăn.


2. Cài đặt trước EDA:

Cấu trúc chip là tiền đề của cài đặt trước. Khi bạn chọn một địa điểm xây dựng và xi măng xốp, bạn cũng sẽ cần một kế hoạch xây dựng cụ thể, đó là cài đặt trước chip. Trong quá trình này, chúng tôi đã nói về toàn bộ quá trình đòi hỏi phần mềm EDA (tương tự như phần mềm CAD trong ngành xây dựng). Như đã đề cập ở trên, phần mềm EDA có thể bán tự động cài đặt sẵn chip cho toàn bộ quá trình để đảm bảo hoạt động thành công của nó. Các nhà thiết kế chỉ cần thay đổi một vài vị trí quan trọng để giảm đáng kể rủi ro không thể kiểm soát.


Các công ty có độ chính xác cao của chúng tôi chủ yếu sử dụng phần mềm từ Ming Dao International, Shinsi Technology và Kaiden Electronics. Cả ba đều là những công ty phần mềm EDA lớn nhất thế giới và đều là các công ty Mỹ.


Nhà cung cấp phần mềm EDA thông minh cũng cung cấp phần mềm EDA miễn phí cho các nhà máy thế hệ như TSMC, yêu cầu các nhà máy thế hệ cung cấp bóng bán dẫn, ống MOS, điện trở, tụ điện cho phần mềm EDA, Các gói kỹ thuật số liên tục được tối ưu hóa và cập nhật nhiều lần (đôi khi một tháng) và hình thành xác minh và ràng buộc với phần mềm, vì vậy về cơ bản chỉ hỗ trợ các phiên bản mới nhất. Không giống như phần mềm lậu, chúng tôi vẫn có thể sử dụng phiên bản cũ hơn mà không cần cập nhật sau Đạo luật Cấm. Nếu chúng ta không cần phiên bản mới nhất của phần mềm để xác minh chip, rất có thể chip được đặt trước sẽ không hoạt động, dẫn đến lỗi phát trực tuyến có nghĩa là hàng trăm triệu tiền đã bị mất và rủi ro chi phí rất cao.


HD JT cuối cùng đã trở thành công ty hàng đầu về phần mềm EDA ở Trung Quốc. Sau nhiều năm tiến bộ, nó đã có thể chịu trách nhiệm về một số lĩnh vực. Nhưng, như đã đề cập ở trên, giống như chip bán dẫn, nó đòi hỏi sự phù hợp của toàn bộ quá trình để bao gồm toàn bộ quá trình cài đặt trước của chip cao cấp, và chúng tôi chỉ có thể bao gồm một số điểm.


2. Chế tác chip:

Quá trình sản xuất chip gần như có thể được chia thành: oxy hóa - lắng đọng màng - khắc - truyền ion - làm sạch;


TSMC chắc chắn là công ty mạnh nhất thế giới trong lĩnh vực sản xuất chip. Công nghệ mạnh mẽ và khả năng dẫn đầu của nó đảm bảo vị trí dẫn đầu của nó. Tuy nhiên, tất cả đều dựa trên việc sử dụng một số lượng lớn các cơ sở bán dẫn của Mỹ. Có thể lập luận rằng nếu không có sự hỗ trợ của công nghệ Hoa Kỳ, sẽ không có TSMC ngày nay. Do đó, nếu Quốc gia A ban hành lệnh cấm, TSMC sẽ có tùy chọn không xử lý chip cho chúng tôi sau khi cân nhắc các đơn đặt hàng và công nghệ cơ bản của họ.


Anh có thể nói chúng ta còn có Trung Tâm Quốc Tế? Sau nhiều năm nỗ lực, Core International, được đưa ra thị trường vào năm 2004, cuối cùng đã vượt qua các nút của quy trình 14nm trong thời gian 19 năm và cuối cùng đã đạt được một bước đột phá lớn. Tuy nhiên, trước tiên chúng ta phải nhận ra rằng TSMC đã cung cấp chip 7nm cho trái cây trong 18 năm, chậm hơn ít nhất hai thế hệ về quy trình và công nghệ. Thứ hai, ngay cả khi chúng tôi có thể chấp nhận các sản phẩm có kích thước, hiệu suất và điều hướng liên tục không tốt, Midcore International không thể làm điều đó cho chúng tôi. Trong quá trình sản xuất chip nói trên, trong liên kết khắc, vi điện tử của chúng tôi đã có thể áp dụng công nghệ tiên tiến hơn cho dây chuyền sản xuất 7Nm và 5nm. Tuy nhiên, trên hết, nó tụt hậu so với mức trung bình của thế giới. Trong khâu sản xuất, có rất nhiều công nghệ đến từ Mỹ. Ví dụ, Central Core International đã áp dụng chương trình của các doanh nghiệp vật liệu ứng dụng Hoa Kỳ. Vì vậy, nếu quốc gia A thực sự có lệnh cấm, CIC không thể sản xuất chip cho Huawei.


In thạch bản:

Thứ hai, chỉ có một công nghệ quan trọng có thể được đề cập trong sản xuất chip - công nghệ in thạch bản. Máy in quang khắc chiếu bản đồ mạch điện lên một tấm silicon được phủ keo quang khắc; Máy khắc ăn mòn bản đồ mạch nhánh song song trên tấm silicon mà bản đồ mạch vừa được vẽ. Hai cơ sở này bổ sung cho nhau và không thể thiếu một cơ sở nào.


Kỹ thuật in thạch bản EUV có độ khó cao (phiên bản cải tiến của DUV đã thay đổi thành công từ bước sóng lớn sang nhỏ sau khi ức chế thiếc kim loại lỏng, không còn được mô tả chi tiết ở đây). Sự phát triển này bắt đầu hơn 20 năm trước với sự tham gia của gần 40 quốc gia, bao gồm tất cả các nước châu Âu. Tuy nhiên, chỉ có Hoa Kỳ tin chắc rằng cuối cùng, khó khăn kỹ thuật vượt xa việc chế tạo bom nguyên tử. Trong chip hiện tại, chúng tôi phải thực hiện ít nhất 20 bản in (một lớp tại một thời điểm) và khi chúng tôi phóng to đồ họa của một lớp khắc nhiều lần, nó phức tạp hơn nhiều so với bản đồ địa hình của toàn bộ thành phố New York và vùng ngoại ô. Hãy tưởng tượng việc ghi lại bản đồ địa hình của toàn bộ New York và vùng ngoại ô (kích thước của bóng bán dẫn nhỏ hơn một trong những giới hạn của đường kính tóc) trên một con chip có kích thước bề mặt phẳng hoặc vật thể chỉ 100 mm vuông. Trình độ phức tạp của kết cấu có thể tưởng tượng được.


Vì vậy, in thạch bản là một kỹ thuật rất phức tạp và quan trọng. Độ chính xác và rõ ràng của nó trực tiếp quyết định trải nghiệm tính toán và chất lượng của chip. Chỉ có khả năng khắc chính xác hơn mới có thể thực hiện thành công ý tưởng của nhà thiết kế mạch ở quy mô vi mô. Không còn nghi ngờ gì nữa, công nghệ in thạch bản là tuyến đầu cạnh tranh giữa các quốc gia trong thời đại in thạch bản chip.


Lĩnh vực tiên tiến của công nghệ in thạch bản được độc quyền bởi công ty Hà Lan ASML (ASML) và máy in thạch bản 5 nanomet của nó đã được đưa vào sử dụng. Năm nay, bộ xử lý A14 của TSMC, dòng Qualcomm Snapdragon 875 và bộ xử lý Mascot 9000 đều được sản xuất tại nhà máy. Cho đến nay, máy in thạch bản của Trung Quốc là quy trình 28nm cho vi điện tử. Trong quá trình phát triển có một thời đại kinh nghiệm khác biệt, trong sản xuất hàng loạt có hai lần kinh nghiệm khác biệt. Đối với nhiều liên kết khác, chúng thậm chí chỉ mới bắt đầu.


3, Kiểm tra đóng gói:

Những người bạn biết về con chip có thể nghĩ rằng Trung Quốc đứng đầu thế giới trong việc đóng gói và thử nghiệm. Tuy nhiên, thực tế của vấn đề là máy kiểm tra đánh bóng được độc quyền bởi các công ty Nhật Bản và Hoa Kỳ, và chất bán dẫn tereda và Kexiu từ Hoa Kỳ chiếm hơn một nửa các cơ sở niêm phong và thử nghiệm trong nước, và tỷ lệ nội địa hóa của các cơ sở thử nghiệm bán dẫn là dưới 10%.

Kiểm tra đóng gói

Kiểm tra đóng gói

Chúng ta không nên quá lạc quan sau khoảng cách giữa chip bán dẫn Trung Quốc và các tiêu chuẩn thế giới về cài đặt trước, sản xuất và thử nghiệm gói. Trong thực tế, chúng tôi không phải là không có kinh nghiệm trong EDA, sản xuất, in thạch bản và OEM. Các công ty như Huadajiu Tian, Microelectronics trung bình, Haisi và các công ty khác đã đặt rất nhiều nền tảng trong các lĩnh vực khác nhau, và ở một số điểm và lĩnh vực, chúng tôi thậm chí có thể so sánh với tuyến đầu. Những gì chúng ta sẽ làm bây giờ là làm cho ngày càng nhiều điểm xuất hiện, thông qua sự phối hợp giữa các điểm, cuối cùng hình thành một chuỗi ngành công nghiệp bán dẫn hoàn chỉnh, không còn bị con người kiểm soát.


Sau khi hiểu được tình trạng hiện tại và khoảng cách trong công nghệ chip bán dẫn của Trung Quốc, chúng ta phải suy nghĩ sâu sắc về cách chúng ta có thể theo đuổi và vượt qua thành công.


1, Hiện trạng chip bán dẫn ở Trung Quốc

Trong một ngành công nghiệp mà vật lý cơ bản bị đình trệ (được mô tả dưới đây), mặc dù Intel vẫn có lợi thế lớn (cài đặt trước EDA, quy trình, v.v.), khoảng cách giữa các thế hệ đang lên và nó sẽ dần thu hẹp lại. Việc Trung Quốc mất đi giai đoạn tiến bộ lịch sử trong ngành công nghiệp bán dẫn, kết hợp với một số quyết định sai lầm nhất định, đã khiến ngành công nghiệp chip bán dẫn rơi vào tình trạng không hoạt động. Tuy nhiên, sự phát triển nhanh chóng của ngành công nghiệp quang điện Trung Quốc trong những năm gần đây cũng đã phá vỡ các vật liệu silicon tinh thể cao cần thiết cho một số chất bán dẫn.


Tuy nhiên, những vấn đề phải đối mặt vẫn còn rất khó khăn và khó khăn. Phần mềm kỹ thuật EDA cho các chip cài sẵn về cơ bản là độc quyền của Hoa Kỳ và Châu Âu; Máy in thạch bản cho các cơ sở chế biến chip vẫn được độc quyền bởi công ty asmel của Hà Lan và bộ cơ sở của nó bao gồm một loạt các công nghệ cao được độc quyền bởi Công ty Vật liệu Ứng dụng Hoa Kỳ (Amat) và Công ty Phát triển Collin (LAM); Ngoài ra, việc sản xuất chip cũng đòi hỏi các nguyên liệu hóa học như axit flohydric, photoxelate và các nguyên liệu hóa học có độ chính xác cao được cung cấp bởi Toyo (Hàn Quốc đã bị cắt điện bởi Toyo, gần như dẫn đến việc ngừng sản xuất chip). Ngay cả khi các điều kiện phần cứng phù hợp với quy trình sản xuất, kinh nghiệm cài đặt trước của ngành (sắp xếp và kết hợp các mạch cửa và các hình thức triển khai chức năng thành công) mà chip Intel tích lũy không thể thực hiện trong một sớm một chiều. Chúng ta cần phải học trong hơn một thập kỷ hoặc thậm chí hai mươi năm.


2. Vấn đề mà chuỗi ngành công nghiệp bán dẫn Trung Quốc phải đối mặt:

Sự tiến bộ của công nghệ không thể tách rời khỏi thị trường vốn. Hãy bắt đầu từ góc độ vốn, phân tích vai trò thúc đẩy của thị trường chip và nguồn vốn đằng sau nó.


Thứ nhất, ngành công nghiệp chip có một đặc điểm đáng chú ý và độc đáo là nó nâng cấp khá nhanh. Không giống như các ngành công nghiệp khác, thị trường giá rẻ và chi phí thấp cũng có nhu cầu rất lớn. Với lợi thế về giá, chúng ta có thể bắt đầu ở mức thấp và dần dần mở rộng thị trường, tích lũy nhân tài và đi lên đỉnh cao. Đối với chip, thị trường luôn là những con chip cao cấp với hiệu suất vượt trội, với rất ít thị trường cấp thấp.


Thứ hai, đối với các công ty có công nghệ chip tiên tiến, mặc dù việc phát triển và cài đặt sẵn chip và thiết lập dây chuyền sản xuất đòi hỏi đầu tư đáng kể, nhưng thị trường chip mới là rất lớn. Đồng thời, một bộ công nghệ trưởng thành như keo quang chính xác cao cũng có thể đảm bảo sản xuất hàng loạt. Đầu tư phát triển sẽ nhanh chóng bị pha loãng bởi một lượng lớn hàng hóa.


Ngoài ra, khi nói đến phát triển chip của Trung Quốc, Trung Quốc không thiếu kinh phí (chi hàng chục tỷ USD cho phát triển) và những người làm việc trong khoa học và công nghệ phát triển cơ bản (nhưng thiếu kinh nghiệm tích lũy về chip). Tuy nhiên, đầu tư vốn tập trung vào tỷ lệ đầu vào/đầu ra. Capital Group lo ngại rằng các sản phẩm được đầu tư hàng chục tỷ đô la thậm chí không theo kịp quy trình bán dẫn chính thống (chip thiết bị đầu cuối) và chỉ có thể phát triển các sản phẩm cũ. Chi phí phát triển cao như vậy không bị pha loãng bởi thị trường, nhưng các chip tầm trung và cấp thấp đắt hơn. Đầu tư giống như một cái hố không đáy, vì vậy các công ty không có động lực để thực hiện đầu tư và phát triển quy mô lớn, đó là bản chất của những tiến bộ khó khăn trong ngành công nghiệp chip.


Đơn giản mà nói, bởi vì ưu thế phát trước, vòng thói quen sinh hoạt của CPU đã hình thành. Các vòng thói quen sinh hoạt của màn hình nền hình x86, ARM và phần mềm phần cứng đã chín chắn và ổn định. Đi trên con đường nước ngoài sẽ bị cản trở bởi hàng rào bằng sáng chế. Nếu bạn xây dựng vòng tròn thói quen sinh hoạt của riêng mình, như đã đề cập ở trên, bạn chỉ có thể hy vọng rằng đất nước có thể mua nó. Thị trường không cần chip cấp thấp. Thật khó để cứu mạng người trên thị trường.


3. Làm thế nào để phát triển ngành công nghiệp chip bán dẫn?

Tôi phải thừa nhận rằng sau khi phân tích những nguyên nhân nêu trên dẫn đến sự chậm trễ trong phát triển chip ở Trung Quốc, làm thế nào để thay đổi khả năng?


Định luật Moore dần mất hiệu lực

Như đã đề cập ở trên, chip xử lý 3nm đang được phát triển và sẵn sàng đưa vào sản xuất hàng loạt với sự phát triển của quy trình chuyên sâu. Tuy nhiên, việc cải thiện hiệu suất, xử lý bề mặt và tốc độ mật độ không tỷ lệ thuận, cho thấy định luật MOLLE đã dần dần bắt đầu mất hiệu lực. Với tiền đề rằng vật lý cơ bản chưa bị phá vỡ, mật độ chip bán dẫn toàn cầu ngày càng tăng sẽ bị đình trệ và chúng ta chỉ có thể liên tục tối ưu hóa và đặt trước các quy trình tốt hơn. Nó cũng cho đất nước chúng ta một cơ hội chỉ có một lần trong đời. Nếu chúng ta không tiến lên, chúng ta sẽ lùi lại. Tuy nhiên, chúng tôi vẫn phải thừa nhận rằng kinh nghiệm cài đặt trước chip của nó đã được tích lũy trong nhiều thập kỷ. Trong một chi tiết nhỏ, các tính năng đạt được thành công thông qua các cài đặt trước tinh tế và khéo léo có thể khiến chúng ta ngạc nhiên trong nhiều thập kỷ hoặc thậm chí 20 năm.


Các công ty chip hàng đầu rút khỏi thị trường Trung Quốc

Như đã đề cập ở trên, các công ty bán dẫn khổng lồ với lợi thế tiên phong sẽ dựa vào nghiên cứu khoa học và kinh nghiệm mạnh mẽ của họ để duy trì tốc độ nâng cấp. Tuy nhiên, thị trường chỉ cần những con chip mới nhất và mạnh nhất, điều này tương đương với việc độc quyền toàn bộ thị trường chip, bị mắc kẹt trong vòng luẩn quẩn không có lợi nhuận thị trường và không có động lực để đầu tư phát triển. Do đó, việc theo đuổi ngành công nghiệp bán dẫn sẽ khó khăn hơn nhiều so với các ngành khác.


Tuy nhiên, hiện nay chính sách cấm vận chip của một quốc gia đã chủ động rút khỏi thị trường Trung Quốc. Mặc dù đây không phải là tin xấu nhỏ đối với các công ty công nghệ cao của Trung Quốc và nhiều người sẽ cảm thấy giảm hiệu suất khi sử dụng các thiết bị điện tử chip trong nước trong thời gian này, nhưng điều này đã mang lại cho ngành công nghiệp chip của quốc gia này cơ hội phát triển một lần trong đời. Đối với chúng tôi, chúng tôi có thể cần phải chịu đựng sự thiếu hiệu suất của chip tự sản xuất trong một thời gian ngắn. Tuy nhiên, từ một quan điểm rộng, đây là một bước cần thiết để thành công trong việc đạt được sự thịnh vượng. Dưới áp lực của bối cảnh thị trường phi khách quan này, trình độ công nghệ chip của Trung Quốc sẽ được theo đuổi thành công.


Trung Quốc cũng đã ban hành một loạt các chính sách để thoát khỏi sự phụ thuộc của ngành công nghiệp bán dẫn ở nước ngoài trong bối cảnh động lực thay đổi trong hoạt động kinh doanh và quốc tế. Vào ngày 4 tháng 8 cùng năm tôi phát biểu, Bộ Ngoại giao đã ban hành "Chính sách chính trị bao nhiêu liên quan đến việc cải thiện sự phát triển chất lượng cao của ngành công nghiệp mạch tích hợp và ngành công nghiệp phần mềm trong thời kỳ mới", Theo đó, các công ty vi mạch tích hợp có đường dây rộng dưới 28 nanomet và thời gian quản lý trên 15 năm sẽ được miễn thuế doanh nghiệp cá nhân trong vòng 10 năm.


Chỉ trong năm nay, Intel, nhà sản xuất chip lớn nhất thế giới, cũng đã sẵn sàng thuê ngoài mảng kinh doanh chip của mình cho TSMC. Ngoài tư duy kinh doanh, có những yếu tố làm mất hiệu quả của luật MOLLE đối với chính công nghệ. Theo luật này, tiến bộ trong công nghệ sản xuất chip sẽ chậm lại hoặc thậm chí bị đình trệ. Do đó, Intel không vội vàng theo đuổi các quy trình chip 7nm và 5nm mới nhất.


1. Định luật Moore:

Luật này được đề xuất bởi Gordon Molle, một trong những người sáng lập Intel. Ý nghĩa nội tại của cốt lõi là số lượng bóng bán dẫn có thể chấp nhận được trên một mạch tích hợp có kích thước bằng mặt phẳng đơn vị hoặc bề mặt vật thể tăng gấp đôi sau mỗi 24 tháng, nghĩa là hiệu suất của bộ xử lý tăng gấp đôi sau mỗi hai năm (định luật này chỉ là kinh nghiệm trong ngành, không phải định luật vật lý tự nhiên). Quy luật này cũng áp dụng cho việc phát triển dung lượng lưu trữ trình điều khiển máy tính, điều này đã trở thành cơ sở cho nhiều công ty công nghiệp suy đoán trước về hiệu suất.


Định luật Moore dần mất hiệu lực:

Tuy nhiên, nghiên cứu mới nhất cho thấy chip xử lý 3nm thế hệ đầu tiên, tương tự như chip 5nm, có mật độ tăng 70% và tốc độ tăng 10-15%. Tuy nhiên, cuối cùng, hiệu suất của chip chỉ tăng 25-30%. Sự gia tăng hiệu suất bề mặt không tỷ lệ thuận với sự gia tăng mật độ và tốc độ. Do đó, các chip hiện đại sử dụng quy trình 3nm mới nhất có thể đã gặp phải giới hạn của định luật Moore vật lý.


1. Rào cản thâm nhập

Nguyên nhân của sự thất bại có liên quan đến vật lý cơ bản và cơ học lượng tử. Cơ học cổ điển cho rằng các vật thể, chẳng hạn như electron, cần năng lượng vượt quá ngưỡng+công suất tia để vượt qua rào chắn. Cơ học lượng tử nhận ra rằng ngay cả khi năng lượng hạt+chuỗi nhỏ hơn năng lượng ngưỡng+chuỗi, các lô nhỏ sẽ bật trở lại và các lô nhỏ vẫn có thể đi qua rào chắn.


2. Xác suất xâm nhập chướng ngại vật

Chúng ta đều biết cơ học lượng tử là nghiên cứu về các hạt vi mô, và các mạch tích hợp tinh tế trong chất bán dẫn tình cờ áp dụng định luật này. Chúng ta hãy sử dụng t để biểu thị hệ số xác suất mà electron xuyên qua rào chắn và a cho chiều rộng rào chắn.


Như bạn có thể thấy từ trên, xác suất xuyên thủng electron giảm nhanh khi chiều rộng rào cản A tăng. Kết luận được đưa ra là hệ số xuyên thủng T 0 khi rào chắn rất rộng và chênh lệch năng lượng+chuỗi là rất lớn hoặc khi hạt có khối lượng lớn. Ngược lại, rào chắn càng hẹp, nó càng dễ dàng đi qua và tạo ra hiệu ứng đường hầm lượng tử.


Hãy nhìn vào các chip tích hợp cao hiện nay. Khoảng cách giữa các mạch transistor ngày càng hẹp hơn, nghĩa là rào chắn ngày càng hẹp hơn. Khi nó nhỏ đến một khoảng cách nhất định, xác suất của đường hầm lượng tử tăng lên rất nhiều. Bằng cách này, suy nghĩ và hoạt động bình thường của chip sẽ trở nên bất thường và không thể cải thiện hiệu suất.


Sự kết thúc của Định luật Mohr sẽ mang lại cho chúng ta điều gì?

Nhìn lại 20 năm qua, hiệu suất trung bình của máy tính hoặc điện thoại thông minh đã tăng gấp đôi trong vòng hai năm và tốc độ nôn ra cái cũ và cái mới rất nhanh. Khi phần mềm ứng dụng được quảng bá lặp đi lặp lại, chúng tôi cũng đã thay đổi nó nhiều lần như FMCG. Chúng được xác định bằng cách bỏ phiếu cho các quy trình IC và chip nhỏ hơn, chính xác hơn và nhanh hơn. Nếu những cải tiến trong công nghệ bán dẫn cơ bản bị đình trệ, các thiết bị điện tử hiện tại của chúng ta sẽ trở thành hàng tiêu dùng không dễ bị hao mòn. Chip sẽ cố gắng cân bằng giữa sự ổn định và chi phí. Cuối cùng, chúng sẽ trở thành những mặt hàng tiêu dùng không dễ bị mòn, chẳng hạn như tủ lạnh, điều hòa không khí và TV. Nếu chúng ta tiếp tục, biên lợi nhuận của nhà sản xuất cũng sẽ giảm.


Tóm lại, nếu các nhà sản xuất lớn không thể phát triển chip chính xác hơn (hiệu suất được cải thiện) và giá cả phải chăng hơn sau 3nm, công nghệ chip trong tương lai có thể sẽ bị đình trệ. Tuy nhiên, có hai khía cạnh cần được thảo luận. Nếu bạn không tiến lên, bạn sẽ lùi lại. Sự trì trệ của toàn bộ ngành công nghiệp bán dẫn cũng có thể mở ra một số cơ hội cho sự tiến bộ của ngành công nghiệp bán dẫn Trung Quốc. Tuy nhiên, chúng ta phải nhận ra rằng việc tích lũy công nghệ không xảy ra trong một sớm một chiều. Mặc dù vật lý cơ bản gặp khó khăn, kinh nghiệm cài đặt trước chip của inter trong vài thập kỷ qua không phải là điều dễ dàng để vượt qua. Các chi tiết thông minh được đặt trước và tối ưu hóa giá trị đã được suy nghĩ trong nhiều năm.


4, Siêu máy tính

Đây là một siêu máy tính và nó được gọi là siêu máy tính. Hiệu suất của nó tiếp tục được cải thiện, phù hợp với Định luật Moore như thể nó không bị ảnh hưởng bởi bất kỳ điều gì. Ánh sáng Thái Hồ siêu toán thần lực của chúng ta trong điều kiện lý tưởng có tính toán nổi (điểm vận hành), thậm chí có một số người ngạo mạn tự đại, xưng bá thế giới, nhưng sự thật thật sự là như vậy sao?


Đầu tiên, chúng ta cần làm rõ một khái niệm. Siêu máy tính tập trung vào làm việc với nhiều bộ xử lý, tức là thu thập hiệu suất. Nó không đặc biệt tập trung vào trải nghiệm của một bộ xử lý duy nhất. Tất nhiên, hiệu suất của các bộ xử lý riêng lẻ cũng rất quan trọng từ quan điểm tỷ lệ tiêu thụ điện năng. Sức mạnh kỳ diệu của TaihoLight là xếp chồng nhiều chip hơn trên cơ sở quy trình của một chip duy nhất kém Intel hai thế hệ. Muốn thực hiện thành công một phương diện tính toán nào đó, phải dựa vào cấu trúc liên kết xuất sắc, đây là kinh nghiệm không thể với tới.


Nói chung, giống như thêm một card đồ họa độc lập khi chơi trò chơi, bạn luôn có thể thêm rtx3090 nếu bạn có tiền. Bạn chỉ cần thử cài đặt trước một kiến trúc cho phép nhiều card đồ họa hoạt động song song để chơi nhiều sức mạnh tính toán hơn và bạn luôn có thể thêm tiền (thêm tiền theo cách khác là có kinh nghiệm, nhưng tiếc là nó không thể được thêm vô thời hạn).


1. Các chỉ số cốt lõi của siêu máy tính là gì?

Chúng ta đều biết rằng siêu máy tính là để thu thập hiệu suất. Tuy nhiên, nếu bạn thêm 1.000 chip, đỉnh tính toán thực tế chỉ là 100 chip, điều này quá đắt. Do đó, trên bình diện quốc tế, người ta thường đồng ý rằng chỉ số có ý nghĩa nhất đối với siêu máy tính là tốc độ. Đó là, tỷ lệ phần trăm của đỉnh được tính toán so với đỉnh lý thuyết, đó là hiệu suất mà nó có thể thực hiện.


(Lưu ý: Đỉnh của tính toán thu được thông qua chương trình Linpack, một tiêu chuẩn được quốc tế công nhận. Nó là một chương trình song song mã nguồn mở cho các phương trình bậc một quy mô cực lớn.)


Điều được chèn ở đây là vì siêu máy tính ở Trung Quốc thường coi kiểu tiêu chuẩn không đồng nhất của việc sử dụng liên kết bus PCI-E giữa GPU và CPU là phù hợp, thuật toán phức tạp, tối ưu hóa nhu cầu, chi phí nghiên cứu và phát triển phần mềm cao, tính linh hoạt của các ứng dụng thấp và tốc độ chuyển tiếp không cao.


2. Tỷ lệ siêu tính toán

Tỷ lệ ở đây đề cập đến tốc độ xử lý song song. Trước khi nói về tốc độ, chúng ta hãy tìm hiểu một khái niệm. Quá trình song song là duy nhất trong việc chia một vấn đề lớn thành các vấn đề nhỏ hơn được tính toán bởi nhiều bộ xử lý. Đồng thời, nó cũng bỏ phiếu về nhu cầu trao đổi giá trị giữa nhiều bộ xử lý, tức là giao tiếp. Nói chung, quá trình nối tiếp chủ yếu bỏ qua thời gian giao tiếp trong bộ nhớ (cần được tối ưu hóa trong trường hợp yêu cầu hiệu suất khắt khe như thư viện số lớn). Đối với siêu máy tính của các chương trình song song, nó thực sự kết nối nhiều máy tính độc lập với nhau thông qua một mạng, đó là một loại giao tiếp chéo các nút. Hiệu suất của mạng trực tiếp xác định thời gian giao tiếp và ảnh hưởng đến tốc độ cuối cùng. Một siêu máy tính thông thường sẽ xem xét một mạng riêng sử dụng ít nhất 10 gigabit băng thông là phù hợp.


Sau khi hiểu các khái niệm trên, chúng ta hãy xem công thức sau:


Thời gian chạy quy trình song song=Thời gian chạy bộ xử lý+Thời gian truyền thông


Tốc độ xử lý song song=Thời gian xử lý nối tiếp/Thời gian xử lý song song * Số lượng bộ xử lý X100%


Như bạn có thể thấy từ công thức trên, khi chúng ta nghĩ rằng việc sử dụng song song hóa, bao gồm cả tính đồng nhất, là thích hợp để giảm thời gian chạy của một quá trình, có khả năng tăng thời gian giao tiếp. Trong trường hợp hiệu suất của từng xử lý là cố định vĩnh viễn, điều quan trọng là làm thế nào để tối ưu hóa việc thu nhỏ mạng. Chỉ số tỷ lệ trực tiếp đo lường liệu nó có đáng để làm điều đó hay không. Rốt cuộc, đó không phải là vấn đề tự mãn khi bạn giành được một chiếc xe tăng với 100 con ngựa.


Một điều chúng ta phải thừa nhận là không có sự đổi mới nhảy vọt nào trong khoa học vật lý cơ bản kể từ Thế chiến II. Nhìn vào các ngành công nghiệp năng lượng, vật chất và vật liệu, không có nhiều tiến bộ và cải tiến so với những năm 1950 và 1960 sau Thế chiến II. Quan trọng hơn là phát huy các lý thuyết cơ bản như cơ học lượng tử trong khoa học ứng dụng. Chất bán dẫn cũng xuất hiện trong nghiên cứu lý thuyết vành đai năng lượng trong cơ học lượng tử. Ứng dụng của nó đã tạo ra ngành công nghiệp CNTT đang phát triển nhanh chóng ngày nay.


Công nghiệp IT:

Công nghiệp IT

Ngành công nghiệp vẫn có thể phát triển nhanh chóng là ngành công nghiệp CNTT dựa trên kinh nghiệm điện toán chip. Chúng ta không thể không tự hỏi liệu sự tiến bộ của ngành công nghiệp CNTT có gặp phải một nút thắt cổ chai khi các hoạt động mà ống pha lê mang theo trải nghiệm và tiến gần đến giới hạn vật lý và định luật MOLLE dần biến mất? Điều đó tạo ra một vấn đề cho chúng ta. Việc cải thiện lực lượng lao động sẽ bị đình trệ sau khi động lực thúc đẩy tiến bộ kinh tế biến mất. Khi dân số và ham muốn tăng lên đến một mức độ nhất định, sẽ có những mâu thuẫn xã hội lớn nếu nền kinh tế không được cải thiện. Chỉ với những đột phá và tiến bộ của khoa học và công nghệ, chẳng hạn như năng lực sản xuất của ba cuộc cách mạng công nghiệp tăng lên, lực lượng lao động mới đưa con người ra khỏi vực thẳm của Malthus.


Trong tình trạng thương mại hóa 7nm, chip 5nm và 3nm gần đến giới hạn và định luật MOLLE sẽ thất bại, đâu là lối thoát cho ngành công nghiệp bán dẫn và thậm chí là CNTT trong tương lai? Có lẽ một ứng dụng khác của cơ học lượng tử liên quan đến các lý thuyết khác như vướng víu lượng tử, cụ thể là truyền thông lượng tử và máy tính lượng tử.


Tính toán lượng tử:


Điện toán lượng tử chắc chắn là một cuộc cách mạng khác trong điện toán. Chúng tôi đang thể hiện đơn vị thông tin nhỏ nhất, máy đếm chuyển bit. Chúng tôi đã thành công trong việc đạt được liệu một mạch có dẫn và đại diện cho 0 hoặc 1 bằng cách sử dụng bóng bán dẫn hay không. Máy tính lượng tử luôn thể hiện sự quay của proton. Đồng thời, do trạng thái chồng chất của lượng tử, proton có thể tồn tại đồng thời trong nhiều điều kiện, có nghĩa là nó lưu trữ nhiều biến, tiếp tục và thực hiện thành công các hoạt động song song đa năng (đồng thời). Với kinh nghiệm tính toán, nó sẽ tự nhiên được tăng cường theo cấp số nhân và tốc độ tính toán sẽ tăng lên hàng trăm lần.


Ví dụ, sự tương tác giữa một hệ thống bao gồm các cơ quan kết hợp lượng tử và bối cảnh xung quanh của nó sẽ dẫn đến sự biến mất nhanh chóng của tài sản lượng tử. Quá trình này được gọi là "rút lui" và nó chỉ có thể mở rộng đến 0 giây. Khi số lượng qubit tăng lên, khả năng tiếp xúc với nền xung quanh tăng lên, làm thế nào để kéo dài thời gian liên quan trở thành chìa khóa; Ngoài ra, tính toán lượng tử cũng gặp phải ảnh hưởng của nhiệt và khuấy ngẫu nhiên, thường được gọi là tiếng ồn, dẫn đến kết quả cuối cùng không chính xác, v.v. Nền tảng hoạt động của nó cũng cực kỳ khắt khe và nhu cầu của nó gần như bằng không.


Thông tin chi tiết:

Ngành công nghiệp sản xuất chip bán dẫn là một ngành coi trọng tích lũy khoa học và công nghệ cơ bản, trong nhiều lĩnh vực cần sự hợp tác của toàn bộ chuỗi công nghiệp. Tiến bộ chip không có đường tắt để đi. Chúng ta cần đi từng bước một. Trong bối cảnh cuộc chiến hiện tại trong hoạt động kinh doanh, chúng tôi đã nhận ra mức độ nghiêm trọng của việc các công nghệ quan trọng bị kiểm soát bởi những người khác và tin rằng chúng tôi sẽ mong muốn tăng cường đầu tư và cuối cùng là tiến bộ tốt trong lĩnh vực bán dẫn.


Để tiếp tục thoát khỏi sự cạnh tranh ở cấp quốc gia, chúng ta nên nhận ra rằng những đột phá công nghệ liên quan đến lĩnh vực chip bán dẫn sẽ không chỉ mang lại lợi ích cho một quốc gia mà còn mang lại tin tốt cho sự tiến bộ chung và tiến bộ chung. Một khi công nghệ được thực hiện thành công, việc phá vỡ bẫy Malthus cũng không giúp ích gì. Tất cả những gì chúng ta có thể làm là xây dựng một nền tảng nghiên cứu tốt, tôn trọng, nuôi dưỡng và tập trung vào tài năng, phá vỡ khoa học cơ bản và cuối cùng thành công trong việc đạt được sự tiến bộ và cải thiện hình thái xã hội chung của mọi người.


IPCB sẽ chia sẻ với bạn.