Fabricant et Assemblage des cartes électroniques ultra-précis, PCB haute-fréquence, PCB haute-vitesse, et PCB standard ou PCB multi-couches.
On fournit un service PCB&PCBA personnalisé et très fiable pour tout vos projets.
Substrat De Boîtier IC

Substrat De Boîtier IC - Où va l'EDA open source?

Substrat De Boîtier IC

Substrat De Boîtier IC - Où va l'EDA open source?

Où va l'EDA open source?

2021-09-16
View:995
Author:frank

Avec le développement de l'intelligence artificielle, des communications 5G, du Cloud Computing et d'autres domaines de l'informatique dédiée, l'architecture informatique dédiée est également entrée dans un nouvel âge d'or. Deux pinceaux importants pour illustrer cet âge d'or sont le matériel open source (puces) et le développement Agile: l'Open source peut abaisser le seuil de la conception de puces et la conception Agile peut raccourcir le cycle de développement.


En ce qui concerne les puces open source, RISC - V est sans doute un représentant de l'Open source. L’année dernière, la Fondation internationale RISC - V a déménagé son siège social en Suisse. Elle compte actuellement plus de 1 000 membres et environ 200 organisations corporatives. La Chine joue un rôle très important à cet égard, avec plus de 20% d’entreprises chinoises et 9 des 19 membres du Conseil d’administration.


Comme le jeu d'instructions ouvert RISC - V est devenu progressivement recherché par l'industrie, le concept de puces open source est progressivement entré dans les yeux des gens et a attiré l'attention et les investissements du monde entier. Au niveau international, dès le symposium vision de l'ISCA 2019, la Conférence phare de l'architecture informatique internationale, plusieurs Académiciens de l'American Academy of Engineering, dont le professeur David Patterson, lauréat du prix Turing, ainsi que des membres du MIT, de l'UC Berkeley, de l'UC Sustainability Institute, de l'Université de Stanford, de Google, Les entreprises et les agences gouvernementales telles que NVIDIA et DARPA se concentrent sur les points chauds de pointe du « matériel ouvert agile pour l'informatique de nouvelle génération» en ce qui concerne les jeux d'instructions, l'Open source de la chaîne d'outils Eda, les processus de conception, la synthèse avancée, la validation formelle et plus encore.


Alors, quelles sont les opportunités d'innovation pour les puces open source? Bao Yungang, Vice - Président de l'Institut de technologie informatique de l'Académie chinoise des sciences, a déclaré lors du Forum technique: RISC - V appartient au jeu d'instructions ouvert. En fait, c'est un manuel de jeu d'instructions ouvert. Par exemple, le Manuel du jeu d'instructions pour les processeurs Intel compte plus de 5 000 pages, le Manuel pour les processeurs arm plus de 2 000 pages et le Manuel du jeu d'instructions RISC - V compte plus de 200 pages. Si l'ensemble d'instructions est transformé en une véritable architecture, de nombreux documents de conception sont générés à ce moment - là, qui peuvent ensuite être rendus publics; Reconverti en code source selon le fichier de conception, le code source peut également être exposé; La chaîne d'outils EDA peut transformer le Code open source en une disposition de puce, puis les outils de conception EDA peuvent également être open source.

Carte de circuit imprimé

Il est bien connu que le marché mondial de l’eda est monopolisé par les trois principaux fabricants cadence, synopsys et mentor (rebaptisé Siemens EDA en janvier 2021). Leur grande taille et leur gamme complète de produits peuvent fournir des solutions complètes pour l'ensemble du processus. À l’inverse, les outils EDA domestiques représentent moins de 5% du marché chinois et seulement 0,2% du marché mondial. En outre, les entreprises nationales EDA sont petites et ont des produits uniques, principalement des outils « point» qui ne peuvent offrir qu'une solution partielle. Il est difficile pour un seul outil EDA de répondre aux exigences des concepteurs pour l'ensemble du processus de conception des ci, et le basculement entre différents outils augmente le risque d'intégrité des données. En conséquence, le marché a une demande croissante pour les plates - formes open source.


Jie biwei, du laboratoire Pengcheng et de l'Institut de technologie informatique de l'Académie chinoise des sciences, estime que l'EDA open source est une garantie importante pour soutenir l'écologie des puces ouvertes. De nombreuses expériences réussies ont montré que l'Open source et l'ouverture sont les bases nécessaires pour construire une écologie technologique et industrielle prospère. Par exemple, le système d'exploitation Linux prend en charge l'ensemble de l'écosystème open source; Un autre exemple est le système d'exploitation mobile Android. Bien qu'il soit maintenant open source, il unifie l'ensemble de l'écosystème de l'Internet mobile, à l'exception d'IOS, en utilisant l'Open source comme point de départ; Dans le domaine de l’ia, caffe, tensorflow, pytorch et Flying Paddle sont sans doute les pierres angulaires de tout l’écosystème technologique de l’ia.


Les outils EDA open source peuvent abaisser les seuils de conception de puces, par exemple en réduisant les coûts de main - d'œuvre, d'IP et d'EDA nécessaires à la conception de puces. Il peut également fournir de bonnes idées pour le développement de la recherche scientifique fondamentale et la formation des talents dans le domaine de l'Eda, que ce soit pour les entreprises, les universités, les instituts de recherche universitaires, ou pour les amateurs individuels dans le domaine des puces et de l'EDA. Si l'EDA open source peut rassembler plusieurs forces, cela aidera notre pays à accélérer le rattrapage du niveau avancé international.


Cependant, la base du logiciel EDA dans notre pays reste relativement faible et presque vide dans le domaine de l'Open source. L’eda open source est toujours confronté à de nombreux défis: moins d’utilisateurs, moins de contributeurs et une structure de cadre peu claire; Certaines solutions ont également été discutées sur le Forum. Les détails sont les suivants:


(1) très peu d’utilisateurs: la qualité des outils EDA open source est loin de celle des outils commerciaux et le nombre d’utilisateurs est très limité. La solution consiste à améliorer la qualité des outils et à mettre l'accent sur l'inspection des projets dans l'environnement de production. C'est - à - dire que les outils EDA open source ne devraient pas se limiter aux articles académiques et au code logiciel, mais doivent être combinés avec d'autres outils ponctuels pour former une chaîne d'outils qui prend en charge la vérification complète du Chip - out et génère des commentaires efficaces de l'utilisateur. Magic aux États - Unis, par exemple, a fait l'objet de plusieurs vérifications par extraction et le document contient des données réelles de test de puce.


(2) peu de contributeurs: le domaine Eda est relativement niché et sa communauté open source est plus faible. Les principaux contributeurs aux outils open source tels que yosys, ABC, Magic et openroad sont pour la plupart autour de 10 personnes. En raison des caractéristiques de la discipline Eda, les contributeurs EDA open source doivent avoir à la fois des connaissances en CS, en mathématiques, en EE et en microe, et le seuil technologique est relativement élevé. Le développement de chaque outil EDA open source n’est pas ouvert. Il s'agit essentiellement d'une équipe interne d'une école, d'un Institut de recherche et d'une entreprise. Les participants externes sont rares et difficiles à participer. La solution consiste à démonter, abstraire et décrire de manière adéquate les problèmes techniques de l'EDA et à les classer en expressions linguistiques compréhensibles dans des domaines spécialisés tels que les mathématiques, les algorithmes et la haute performance, mobilisant la force communautaire de plusieurs disciplines.


(3) La structure du cadre n'est pas claire: les incohérences de code et les faibles taux de réutilisation sont des problèmes courants avec les logiciels open source. Cependant, la forte liaison d'outils et d'algorithmes EDA open source rend le problème encore plus important. La conception de nouveaux algorithmes nécessite souvent beaucoup de réécriture de code, ce qui perd son sens de l'Open source. Il y a deux solutions. La première est la conception modulaire, en commençant par la conception du cadre de base et en passant par la couche algorithmique, en abstrait les opérations courantes et les formats de données, en explorant les règles de refactorisation itérative à partir du Code pour former un cadre de base rationalisé, efficace et modulaire. Cette conception modulaire permet également d'accélérer le processus de conception EDA avec une architecture de calcul parallèle distribué. Deuxièmement, normaliser l'abstraction. Diviser l'ensemble du processus de conception, en particulier la conception frontale, en plusieurs niveaux d'abstraction en utilisant d'excellents concepts de conception de compilateur tels que la représentation Intermediate de niveau Multi (mlir), permettant aux experts de divers domaines de se concentrer sur leurs niveaux d'abstraction respectifs. Dans le même temps, effectuez une vérification Agile et pratique de l'ensemble du processus avec la chaîne d'outils EDA à plusieurs niveaux de compilation. De cette façon, même les outils point peuvent être connectés de manière flexible à la chaîne d'outils EDA open source via un format de conversion standardisé pour valider pleinement les performances et la qualité des outils développés par vous - même. Cette tendance se dessine actuellement dans les milieux industriels et universitaires nord - américains.


En ce qui concerne les projets EDA open source, qu’il s’agisse de procédés bas (110 nm) ou élevés (55 nm, 40 nm ou même 28 nm). Des applications au niveau de l'enseignement universitaire aux applications au niveau des produits d'entreprise, des petites puces ASIC aux projets SOC à plus grande échelle, la stratégie d'intégration de la recherche et des applications doit être respectée, avec l'utilité comme moteur, les applications de la recherche et les applications de la recherche traduites en applications. Décomposez les cinq aspects de la chaîne d'outils, des outils point par point, des ensembles de benchmarks, des applications de démonstration, des bibliothèques de processus open source de niveau industriel.


Progrès de la recherche industrielle


Il convient de mentionner que lors de la Conférence asplos 2021, le Dr Chris Lattner (l’un des principaux initiateurs et auteurs du projet llvm et auteur du compilateur clang) vient de passer de Google Brain à la société Star RISC - V sifive, où il a donné une conférence sur l’âge d’or de la conception de compilateurs à l’ère de La conception collaborative matériel / logiciel, Un nouveau Framework EDA unifié (Electronic Design Automation) basé sur la technologie de compilation mlir a été proposé et des chaînes d'outils EDA telles que tensorflow ou pytorch ont été conçues dans le logiciel, offrant une infrastructure complète et flexible. Le projet circt est identique au projet mlir et permet de développer facilement une cohérence écologique en termes de conception matérielle et de compilation logicielle.


Sur la voie du logiciel EDA open source, les universités nationales et l'industrie de l'EDA luttent pour trouver le bon endroit pour contribuer à l'EDA open source.


Dans le domaine des puces open source, l'Institut de technologie informatique de l'Académie chinoise des sciences a lancé des projets tels que la puce de processeur RISC - V, le système d'exploitation natif RISC - V et d'autres en 2019, construisant un système de technologie de puce open source avec un avantage rentable sur le processus domestique 28nm. En outre, ils explorent l'EDA open source pour concevoir des puces open source. Sur la base des outils open source existants, ils ont construit un processus de conception basé sur Python RTL à gds2; En août dernier, cinq étudiants de premier cycle de l'Université nationale des sciences et de la technologie ont utilisé l'outil open source EDA pendant deux ans. Conçu la puce Guo Tiger one, réalisé la sortie.


L'Académie chinoise des sciences a également développé agileserve, une plate - forme Cloud de conception Agile de puces qui intègre divers éléments open source pour aider les utilisateurs à personnaliser rapidement les puces de processeur et le développement de logiciels, réduisant ainsi le seuil de conception de puces. Pour les étudiants, la conception de la puce peut être effectuée n'importe où et n'importe quand; Pour les enseignants, il est possible de guider les élèves en ligne.



Lin Yibo, de l'Université de Pékin, a présenté le célèbre projet "Deep Learning Assisted location and Route Optimization" lors de la Conférence. Il décrit l'utilisation du Deep Learning pour améliorer les algorithmes traditionnels de localisation et d'itinéraire. Dans ce processus, des outils de placement et de routage open source doivent être utilisés, sinon ce sera très difficile. Difficulté à obtenir des échantillons d'apprentissage profond. À l'avenir, ils continueront d'améliorer leurs algorithmes et d'améliorer leur stabilité et leurs capacités de généralisation. On s'attend à ce qu'un ensemble ouvert de données Eda, tel qu'imagenet, soit publié afin d'attirer la concurrence et le développement mutuels des milieux universitaire et industriel.


Yan Hao, du Centre national de recherche en technologie d'ingénierie des systèmes ASIC de l'Université du Sud - Est, a présenté la méthode de conception à seuil proche de tension large et le développement de l'EDA open source. Il a présenté que le défi technique de l'outil EDA était le développement d'algorithmes de modélisation et d'optimisation des écarts résultant de l'évolution des processus et des tailles de gravure. Il a noté que le plus grand défi en faisant de la recherche est qu'il faut beaucoup d'efforts pour copier le Code d'autrui, de sorte qu'ils travaillent également avec des sociétés nationales d'EDA telles que niiceda pour investir activement dans le développement d'outils d'analyse temporelle open source.


Zhu shufei, de l'Université de Ningbo, a partagé les progrès de la recherche sur les outils de synthèse automatique dans les domaines multilogiques. La Synthèse logique, dit - il, consiste à traduire toutes les descriptions de niveau RTL d'un circuit numérique conçu en connexions de circuits unitaires dans une bibliothèque de procédures spécifiée, sous réserve que les contraintes soient respectées. La Synthèse logique comprend principalement trois étapes: traduction, optimisation et cartographie des processus. Il a noté que l'approche intégrée développée à partir de différents ensembles logiques s'applique à différents scénarios. Les outils EDA existants sont tous développés sur la base de la logique booléenne traditionnelle. De nouveaux types d'opérateurs peuvent apporter plus d'opportunités, telles que le changement de polarité. Reed Muller, TB et RM Dual Logic, etc. en ce qui concerne EDA open source, il a souligné les tendances de développement des outils de synthèse logique: 1. L'intelligence artificielle est utilisée pour l'Eda, en utilisant des méthodes d'intelligence artificielle pour déduire l'ordre des conversions d'optimisation de la Synthèse logique, ce qui permet aux ingénieurs sans aucune expérience d'obtenir des scripts d'optimisation; 2. Eda pour l'IA, en utilisant des opérations logiques inversées sous forme de tables de recherche pour atteindre le même objectif que les poids d'entraînement des réseaux de neurones; 3. Solution optimale, étant donné le circuit numérique, les méthodes existantes dépendent fortement du solveur SAT pour obtenir le nombre minimum de nœuds, la profondeur logique minimale, etc. la solution optimale, mais le solveur SAT lui - même est un algorithme heuristique, la simulation de circuit numérique peut aider le sat à effectuer une recherche plus efficace; 4. Facilitateur technologique, développer de nouvelles méthodes d'abstraction logique et aider à évaluer de nouveaux processus tels que les matériaux bidimensionnels et le développement. Quel que soit l'ensemble de la formation, l'optimisation de la recherche et les nouveaux modèles bénéficieront de l'écosystème open source.


Où va l'EDA open source?

L'écosystème EDA open source est confronté à de nombreuses difficultés allant de 0 à 1. Comment boucler l'écosystème EDA open source? Comment maintenir l’écologie durable? Comment se prémunir contre les risques écologiques tels que les pannes de suspension, les fuites IP, les brevets? Où devrions - nous aller à l'avenir? Ces questions ont fait l'objet de discussions intenses et significatives entre les universitaires et les experts.


De 0 à 1, l’écologie de l’eda open source commence dans le monde académique

Contrairement aux logiciels open source traditionnels, les logiciels EDA open source ont une structure de données, une qualité de code et des algorithmes très professionnels, ainsi qu'une petite population d'utilisateurs, ce qui limite la taille de la communauté open source. Tout au long de l'histoire, les entreprises de haute technologie de la Silicon Valley, aussi petites que Star Sea, ont commencé par l'innovation universitaire. Il en va de même pour l'EDA. Tout d'abord, former les premiers utilisateurs d'EDA open source, y compris les enseignants et les étudiants, dans les collèges et les universités pour qu'ils utilisent les outils open source de manière innovante, puis les rendre disponibles à l'avenir grâce à l'utilisation continue et à l'amélioration itérative des outils. Degrés


Cependant, il est également difficile de promouvoir et de maintenir un écosystème EDA en boucle fermée à partir du milieu universitaire. La question la plus importante est l'implication des universitaires dans le développement de l'EDA open source et la maintenance continue des projets open source. D’un point de vue utilitaire, la motivation à maintenir un projet Open Source disparaît lorsque les chercheurs universitaires transfèrent leurs intérêts de recherche ou lorsque le projet prend fin; Les étudiants doivent consacrer des années d'expérience à l'apprentissage et à la maîtrise des outils EDA open source, de préférence des outils commerciaux plus pratiques pour rechercher un emploi; Les étudiants de haute qualité n'ont pas beaucoup de travail open source et les étudiants peuvent parfois ré - implémenter des algorithmes ou des outils plus rapidement que de pirater (ou même de déboguer) Le Code d'un projet Open source. Après l'obtention du diplôme par les étudiants, sans une bonne documentation et une gestion de code de haute qualité, la motivation pour poursuivre le développement itératif sur le plan académique ne suffit pas.


Par conséquent, le Forum recommande que les normes d'évaluation académique des universités soient correctement guidées pour que les projets open source avec un code et une documentation de haute qualité, ainsi que les projets étoilés sur des plateformes d'hébergement de code et de collaboration telles que github / gitee, deviennent des cartes de visite académiques pour les universitaires, Les étudiants et les experts en entreprise. Le lancement et la maintenance d'un projet EDA open source stimuleront grandement l'emploi des étudiants.


La mise en place d’un écosystème EDA open source nécessite également un ensemble de benchmarks, et notamment un ensemble de Benchmarks industriels capables de compléter les processus de bout en bout; Comment passer d'un ensemble de données à petite échelle dans le milieu universitaire à une conception avancée au niveau industriel est un sujet à discuter. Les sociétés de conception de puces bien connues dans l'industrie peuvent - elles offrir des conceptions désensibilisées qui permettent à la communauté open source de servir de référence pour la recherche universitaire et les concours d'étudiants, élargissant ainsi la communauté open source. En particulier, dans une ère contemporaine où les technologies d'apprentissage profond sont étroitement intégrées au domaine de l'Eda, un « imagenet» dans le domaine de la conception de puces est nécessaire pour la prospérité de la communauté.